SUPPLEMENTARY INFORMATION

Size: px
Start display at page:

Download "SUPPLEMENTARY INFORMATION"

Transcription

1 SUPPLEMENTARY INFORMATION doi: /nature Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in the <111>B or <111>A directions. For example, InGaAs NWs preferentially grow in the <111>B direction, so vertically-aligned InGaAs NWs can be grown on a III-V(111)B substrate. On the III-V(111)A surface, the InAs NWs grow in three equivalent tilted <111>B directions. The <111> direction of group-iv semiconductors, on the other hand, does not show the polarities such as A and B. Thus, in III-V/Si heteroepitaxy equivalent surface orientations and directions always occur on the Si(111) surface and these equivalencies form anti-phase domains. Instead of the anti-phase domain formation, equivalent growth directions always occur for III-V NW/Si integrations. That is, such III-V NWs on Si(111) grow in vertical <111> and three equivalent tilted <111> directions at the same time. The differences result either from the co-existence of (111)A and B surfaces that are formed when Si is eliminated by a metal catalyst during VLS growth, or from termination of group-iii or group-v atoms on the Si(111) surface during selective-area growth. For rational design of NW applications taking advantage of geometries, we have to force such equivalent growth directions into the vertical <111> direction. As shown in Figs. S1a and S1b, once As-incorporating Si 3+ and/or In-terminated Si 1+ has formed on the Si surface, only vertical III-V NWs should grow on the Si(111) substrates. This is because these surfaces are equivalent to a (111)B-oriented surface. Conversely, the growth directions of the III-V NWs can be controlled by optimizing the initial surface and growth conditions. To form an As-incorporating Si 3+ surface, group-v atoms should be replaced with the outermost Si atoms of the 1 1 reconstructed surface because it is equivalent to a V-atoms-terminated Si 3+ surface and a (111)B-oriented surface. The method of forming these (111)B-oriented surface is different for each III-V/Si system because these processes depend on strength of the bond between group-iii atoms and Si atoms. Fig. S1c and S1d depict the growth sequence for aligning vertical InGaAs NWs on Si(111). 1

2 RESEARCH SUPPLEMENTARY INFORMATION Figure S1. Schematics of chemical structure. a Group-V-incorporating Si 3+ structure, b Group-III-terminated Si 1+ surface, c Growth sequence for aligning vertical InGaAs NWs on Si. Thermal cleaning at 900 C in H 2 is used to evaporate native oxide and form 1 1 surface reconstruction. AsH 3 is supplied in order to form V-incorporated Si 3+ surface. The flow-rate modulation epitaxy enhances the formation of group-iii terminated Si 1+. d. Schematic of flow-rate modulation epitaxy. TMGa+TMIn (1 s) and AsH 3 (1 s) are alternately supplied with an interval of H 2 (2 s). This sequence is repeated 30 times. 2

3 SUPPLEMENTARY INFORMATION RESEARCH 2. Selective-area metal-organic vapour phase epitaxy: Process for growing nanowires (NWs). The selective-area metal-organic vapour phase epitaxy (MOVPE) is illustrated in Figure S2. After the substrate was degreased (Fig. S1a), SiO 2 films with thicknesses of 20 nm were formed by thermal oxidation. The thermal oxidation is usually used to form SiO 2 for III-V NW growth on Si because of the thermal tolerance of the film. Next, circular openings arranged in a triangular lattice with a pitch of m were formed on the SiO 2 films by using electron-beam lithography and wet chemical etching. The opening diameter d 0 can be adjusted by the lithography. In this paper, the d 0 was ranged from 60 to 90 nm. A representative scanning electron microscopy (SEM) image of the masked substrate is shown in Fig. S2b. Finally, NWs were grown by MOVPE. The (111)B-oriented surface was used for the InGaAs NW growth because the III-V NWs are preferentially grown in <111>B directions. The (111)B surface has a topmost of the group-v atoms. Figure S2 a Fabrication processes for selective-area MOVPE. After the deposition of SiO 2 film, hole openings were formed by lithography and etching. NWs were grown by metal-organic vapor phase epitaxy. b SEM image of masked substrate with hole openings. 3

4 RESEARCH SUPPLEMENTARY INFORMATION 3. Strain mapping estimated from TEM image of InGaAs nanowire/si interface Strain mapping estimated from displacement of bright spots in the TEM image are shown in Figs. S3b and S3c. Here, the strains, ε xx and ε yy, were calculated from the displacement of bright spots in Fig. 1d by using a peak-pair finding algorithm and the displacements of the bright spots are defined by u xx = Δx a Si(x) for the in-plane <2-1-1> direction and u yy = Δy- a Si(y) for the vertical <111> direction. The Δx and Δy are the displacements of the bright spots for each direction. The a Si(x) and a Si(y) corresponds to the lattice constants in the in-plane and vertical directions of the Si substrate estimated from the TEM image. Also strain ε xx and ε yy are determined by ε xx = u x and ε yy = u y, where u is u. Note that, since the displacement of the atoms is calculated based on 2 2 xx u yy the position of the atoms in crystalline Si, unstrained InGaAs is mapped into a layer with a strain of + 8.1% in definition. The error in the strain calculation is approximately ± 0.5%. Strains ε xx in Fig. S3b were calculated to be very small in the first three monolayers of a InGaAs NW from the hetero-junction and close to the value calculated for the Si substrate. This indicates that the lattice constant of InGaAs in the <2-1-1> direction in the three monolayer-region is consistent with that of Si and the region has compressive strain. The ε yy strain mapping of InGaAs NW, on the other hand, shows lamellar tensile strain in the four ML-region, and the amount is far larger than 8.1%. Figure S3 a High-resolution TEM image of InGaAs nanowire/si heterointerface. b xx strain mapping of the panel a. c yy strain mapping of the panel a. 4

5 SUPPLEMENTARY INFORMATION RESEARCH 4. Details of fabrication procedure for surrounding-gate transistors (SGTs). Figure S4 shows the fabrication processes for NW SGTs. After the InGaAs NW growth [Fig. S4(a)], InGaAs NWs were covered with a Hf 0.8 Al 0.2 O x ( HfAlO = 20.4) film using atomic layer deposition technique. This oxide was used as the gate oxide. The oxide thickness was ranged from 10 nm (EOT = 1.96 nm) to 20 nm (EOT = 3.92 nm). The gate metal, tungsten (W), was deposited by RF sputtering [Fig. S4(b)], and was lithographically defined in NW-grown masks (50 50 µm 2 ). The NWs were spin-coated with benzocyclobutene (BCB) [Fig. S4(c)] and etched back by reactive-ion etching (RIE) with CF 4 /O 2 mixed gas in order to etch the BCB, W, and HfAlO simultaneously [Fig. S4(d)]. After the RIE the NWs were again spin-coated with BCB and etched back by RIE [Fig. S4(e)] in order to isolate the gate and drain metals. A Ni/Ge/Au/Ni/Au multilayer was evaporated onto a lithographically defined region to serve as the drain contact. A Ti/Au multilayer was deposited onto the Si substrate to serve as the source contact [Fig. S4(f)]. The device includes 10 NWs that were parallel-connected to a single drain contact pad. The gate length (L G ) was 200 nm. Finally, the NW-VSGT were annealed at 420ºC in N 2 in order to obtain Ohmic contacts at the source and drain metals. Figure S4. Device fabrication processes. (a) InGaAs NW growth. (b) Atomic layer deposition of Hf 0.8 Al 0.2 O x and sputtering of W-gate metal. (c) spin-coating of BCB polymer. (d) RIE of BCB, gate oxide, and W metal. (e) Spin-coating of BCB and RIE back-etching to electrically isolate between the gate and drain region. (f) Drain and source metal evaporation. 5

6 RESEARCH SUPPLEMENTARY INFORMATION 5. Gate leakage properties of SGTs using InGaAs NW channels on Si. Figure S5 shows the gate-leakage current (I G ) characteristics of SGT of Fig. 2 with various effective-oxide thickness (EOT). The I G depends on the EOT and ranged from the order of 10-5 A/cm 2 to the order of 10-3 A/cm 2. This values are much lower than that of conventional Si-MOSFET. Figure S5. Gate-leakage characteristics of the SGTs using InGaAs NW-channels on Si. 6. EOT dependence of SS and DIBL of InGaAs NW-SGTs Figure S6 shows the SS and DIBL of InGaAs NW-SGTs of Fig. 2 with various EOT. Neither the SS nor the DIBL depend on EOT. The average SS and DIBL was 82 mv/dec and 45 mv/v. Figure S6: SS and DIBL with a variation of EOT for InGaAs NW-SGTs on Si. 6

7 SUPPLEMENTARY INFORMATION RESEARCH 7. Highly Magnified HAADF-STEM image and EDX mappings for Fig.3 Figure S7 depicts HAADF-STEM image and EDX mapping of Fig. 3 for the InGaAs/InP/InAlAs/InGaAs CMS NW. The thicknesses of the InP, InAlAs, and InGaAs layers estimated from these images were 2.6, 16.5, and 5 nm, respectively. Note also that Al-segregation of Al is evident at corner of the NW. Figure S7: (a) HAADF-STEM image of cross-section of InGaAs CMS NW. (b) Magnified HAADF-STEM image of the corner of the NW. EDX mapping for (c) As, (d) In, (e) Ga, (f) Al and (g) P. 7

8 RESEARCH SUPPLEMENTARY INFORMATION 8. Estimation of field-effect mobility for InGaAs NW-SGTs. The transconductance (G m = di DS /dv G ) of the InGaAs NW-SGT was measured at a V DS = 10 mv in order to avoid the contribution of an external electric field. Capacitance-voltage (C-V G ) curve was measured at 10 khz. The field-effect electron mobility was then estimated from the following relation: μ eff L W V G Where V G is gate bias, L is gate length, W is gate perimeter, and C(V G ) is the capacitance curve measured at 10 khz. G Fig. S8 shows the eff as a function of V G for InGaAs NWs with a gate-drain length of 50 nm (black), InGaAs/InAlAs core-shell NWs with a gate-drain length of 50 nm (green), InGaAs/InP/InAlAs/InGaAs CMS NWs with a gate-drain length of 1 m (red), and InGaAs/InP/InAlAs/InGaAs CMS NWs with a gate-drain length of 50 nm (blue). The eff first increases with increasing the V G and then decreases with further increasing the V G because the electron scattering process is enhanced under high electric field. Compared with the field effect mobility of the InGaAs NW-SGT ( eff = 1,170 cm 2 V -11 s -11 ), the eff offered by the CMS structures was approximately seven-folded to an estimated 7,850 cm 2 V -11 s -11. m C V V G G dv G Figure S8. Field-effect mobility of InGaAs NW-SGT as a function of V G at a V DS of 10 mv. 8

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0. Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.3 V Memisevic, E.; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik;

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

Supplementary information for Stretchable photonic crystal cavity with

Supplementary information for Stretchable photonic crystal cavity with Supplementary information for Stretchable photonic crystal cavity with wide frequency tunability Chun L. Yu, 1,, Hyunwoo Kim, 1, Nathalie de Leon, 1,2 Ian W. Frank, 3 Jacob T. Robinson, 1,! Murray McCutcheon,

More information

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors Supplementary Information Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors J. A. Caraveo-Frescas and H. N. Alshareef* Materials Science and Engineering, King

More information

Ultra High-Speed InGaAs Nano-HEMTs

Ultra High-Speed InGaAs Nano-HEMTs Ultra High-Speed InGaAs Nano-HEMTs 2003. 10. 14 Kwang-Seok Seo School of Electrical Eng. and Computer Sci. Seoul National Univ., Korea Contents Introduction to InGaAsNano-HEMTs Nano Patterning Process

More information

Nanoscale III-V CMOS

Nanoscale III-V CMOS Nanoscale III-V CMOS J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology SEMI Advanced Semiconductor Manufacturing Conference Saratoga Springs, NY; May 16-19, 2016

More information

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links Monolithically integrated InGaAs nanowires on 3D structured silicon-on-insulator as a new platform for full optical links Hyunseok Kim 1, Alan C. Farrell 1, Pradeep Senanayake 1, Wook-Jae Lee 1,* & Diana.

More information

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors Veerendra Dhyani 1, and Samaresh Das 1* 1 Centre for Applied Research in Electronics, Indian Institute of Technology Delhi, New Delhi-110016,

More information

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process Jianqiang Lin, Xin Zhao, Tao Yu, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Supplementary Information

Supplementary Information Supplementary Information For Nearly Lattice Matched All Wurtzite CdSe/ZnTe Type II Core-Shell Nanowires with Epitaxial Interfaces for Photovoltaics Kai Wang, Satish C. Rai,Jason Marmon, Jiajun Chen, Kun

More information

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) K. Moselund 1, D. Cutaia 1. M. Borg 1, H. Schmid 1, S. Sant 2, A. Schenk 2 and H. Riel 1 1 IBM Research

More information

Scaling of InGaAs MOSFETs into deep-submicron regime (invited)

Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Y.Q. Wu, J.J. Gu, and P.D. Ye * School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47906 * Tel: 765-494-7611,

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

Nanofluidic Diodes based on Nanotube Heterojunctions

Nanofluidic Diodes based on Nanotube Heterojunctions Supporting Information Nanofluidic Diodes based on Nanotube Heterojunctions Ruoxue Yan, Wenjie Liang, Rong Fan, Peidong Yang 1 Department of Chemistry, University of California, Berkeley, CA 94720, USA

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/science.1234855/dc1 Supplementary Materials for Taxel-Addressable Matrix of Vertical-Nanowire Piezotronic Transistors for Active/Adaptive Tactile Imaging Wenzhuo Wu,

More information

Supplementary Information

Supplementary Information Supplementary Information Wireless thin film transistor based on micro magnetic induction coupling antenna Byoung Ok Jun 1, Gwang Jun Lee 1, Jong Gu Kang 1,2, Seung Uk Kim 1, Ji Woong Choi 1, Seung Nam

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Room-temperature continuous-wave electrically injected InGaN-based laser directly grown on Si Authors: Yi Sun 1,2, Kun Zhou 1, Qian Sun 1 *, Jianping Liu 1, Meixin Feng 1, Zengcheng Li 1, Yu Zhou 1, Liqun

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

Raman Spectroscopy and Transmission Electron Microscopy of Si x Ge 1-x -Ge-Si Core-Double-Shell Nanowires

Raman Spectroscopy and Transmission Electron Microscopy of Si x Ge 1-x -Ge-Si Core-Double-Shell Nanowires Raman Spectroscopy and Transmission Electron Microscopy of Si x Ge 1-x -Ge-Si Core-Double-Shell Nanowires Paola Perez Mentor: Feng Wen PI: Emanuel Tutuc Background One-dimensional semiconducting nanowires

More information

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Jianqiang Lin, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Vertical Surround-Gate Field-Effect Transistor

Vertical Surround-Gate Field-Effect Transistor Chapter 6 Vertical Surround-Gate Field-Effect Transistor The first step towards a technical realization of a nanowire logic element is the design and manufacturing of a nanowire transistor. In this respect,

More information

InGaAs MOSFETs for CMOS:

InGaAs MOSFETs for CMOS: InGaAs MOSFETs for CMOS: Recent Advances in Process Technology J. A. del Alamo, D. Antoniadis, A. Guo, D.-H. Kim 1, T.-W. Kim 2, J. Lin, W. Lu, A. Vardi and X. Zhao Microsystems Technology Laboratories,

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs J. A. del Alamo Microsystems Technology Laboratories, MIT Purdue University, West Lafayette, IN; September 29,

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2 Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS 2 /hon a 300- BN/graphene heterostructures. a, CVD-grown b, Graphene was patterned into graphene strips by oxygen monolayer

More information

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate 22 Annual Report 2010 - Solid-State Electronics Department 4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate Student Scientist in collaboration with R. Richter

More information

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik InGaAs tri-gate MOSFETs with record on-current Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik Published in: 6 IEEE International Electron Devices Meeting, IEDM 6 DOI:.9/IEDM.6.7886

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Metal-Semiconductor and Semiconductor Heterojunctions The Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) is one of two major types of transistors. The MOSFET is used in digital circuit, because

More information

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces SUPPLEMENTARY INFORMATION Articles https://doi.org/10.1038/s41928-018-0056-6 In the format provided by the authors and unedited. Low-power carbon nanotube-based integrated circuits that can be transferred

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

InGaAs MOSFET Electronics

InGaAs MOSFET Electronics InGaAs MOSFET Electronics J. A. del Alamo Microsystems Technology Laboratories, MIT The 17 th International Symposium Physics of Semiconductors and Applications Jeju, Korea, December 7-11, 2014 Acknowledgements:

More information

NOVEL CHIP GEOMETRIES FOR THz SCHOTTKY DIODES

NOVEL CHIP GEOMETRIES FOR THz SCHOTTKY DIODES Page 404 NOVEL CHIP GEOMETRIES FOR THz SCHOTTKY DIODES W. M. Kelly, Farran Technology Ltd., Cork, Ireland S. Mackenzie and P. Maaskant, National Microelectronics Research Centre, University College, Cork,

More information

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801 Comparative study of self-aligned and nonself-aligned SiGe p-metal oxide semiconductor modulation-doped field effect transistors with nanometer gate lengths Wu Lu Department of Electrical and Computer

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Vertical nanowire electrode arrays as a scalable platform for intracellular interfacing to neuronal circuits Jacob T. Robinson, 1* Marsela Jorgolli, 2* Alex K. Shalek, 1 Myung-Han Yoon, 1 Rona S. Gertner,

More information

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs MBE 2008, Vancouver, B.C. Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs Mark Wistey, Greg Burek, Uttam Singisetti, Austin Nelson, Brian Thibeault, Joël Cagnon, Susanne Stemmer, Arthur

More information

Solid State Device Fundamentals

Solid State Device Fundamentals Solid State Device Fundamentals 4.4. Field Effect Transistor (MOSFET) ENS 463 Lecture Course by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 4N101b 1 Field-effect transistor (FET)

More information

Supplementary Figure S1 X-ray diffraction pattern of the Ag nanowires shown in Fig. 1a dispersed in their original solution. The wavelength of the

Supplementary Figure S1 X-ray diffraction pattern of the Ag nanowires shown in Fig. 1a dispersed in their original solution. The wavelength of the Supplementary Figure S1 X-ray diffraction pattern of the Ag nanowires shown in Fig. 1a dispersed in their original solution. The wavelength of the x-ray beam was 0.1771 Å. The saturated broad peak and

More information

High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template

High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template Supporting Information High throughput ultra-long (20cm) nanowire fabrication using a wafer-scale nanograting template Jeongho Yeon 1, Young Jae Lee 2, Dong Eun Yoo 3, Kyoung Jong Yoo 2, Jin Su Kim 2,

More information

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI 1 Integrated diodes pn junctions of transistor structures can be used as integrated diodes. The choice of the junction is limited by the considerations of switching speed and breakdown voltage. The forward

More information

GaN power electronics

GaN power electronics GaN power electronics The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published Publisher Lu, Bin, Daniel Piedra, and

More information

Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si

Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si Berg, Martin; Persson, Karl-Magnus; Kilpi, Olli-Pekka; Svensson, Johannes; Lind, Erik; Wernersson, Lars-Erik Published in: Technical

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

Reconfigurable Si-Nanowire Devices

Reconfigurable Si-Nanowire Devices Reconfigurable Si-Nanowire Devices André Heinzig, Walter M. Weber, Dominik Martin, Jens Trommer, Markus König and Thomas Mikolajick andre.heinzig@namlab.com log I d Present CMOS technology ~ 88 % of IC

More information

Crystal phase transformation in self-assembled. - Supporting Information -

Crystal phase transformation in self-assembled. - Supporting Information - Crystal phase transformation in self-assembled InAs nanowire junctions on patterned Si substrates - Supporting Information - Torsten Rieger 1,2, Daniel Rosenbach 1,2, Daniil Vakulov 1,2, Sebastian Heedt

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Dopant Profiling of III-V Nanostructures for Electronic Applications

Dopant Profiling of III-V Nanostructures for Electronic Applications Dopant Profiling of III-V Nanostructures for Electronic Applications By Alexandra Caroline Ford A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy

More information

Welcome to. A facility within the Nanometer Structure Consortium (nmc) at Lund University. nanolab. lund

Welcome to. A facility within the Nanometer Structure Consortium (nmc) at Lund University. nanolab. lund lund nanolab Welcome to A facility within the Nanometer Structure Consortium (nmc) at Lund University »It s a dream come true. This is the lab I always dreamt of. I didn t know it would ever exist.«ivan

More information

2.8 - CMOS TECHNOLOGY

2.8 - CMOS TECHNOLOGY CMOS Technology (6/7/00) Page 1 2.8 - CMOS TECHNOLOGY INTRODUCTION Objective The objective of this presentation is: 1.) Illustrate the fabrication sequence for a typical MOS transistor 2.) Show the physical

More information

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs J. A. del Alamo, X. Zhao, W. Lu, and A. Vardi Microsystems Technology Laboratories Massachusetts Institute of Technology 5 th Berkeley

More information

III-V CMOS: the key to sub-10 nm electronics?

III-V CMOS: the key to sub-10 nm electronics? III-V CMOS: the key to sub-10 nm electronics? J. A. del Alamo Microsystems Technology Laboratories, MIT 2011 MRS Spring Meeting and Exhibition Symposium P: Interface Engineering for Post-CMOS Emerging

More information

Schottky Diode RF-Detector and Focused Ion Beam Post-Processing MURI Annual Review

Schottky Diode RF-Detector and Focused Ion Beam Post-Processing MURI Annual Review Schottky Diode RF-Detector and Focused Ion Beam Post-Processing MURI Annual Review Woochul Jeon, Todd Firestone, John Rodgers & John Melngailis University of Maryland. (consultations with Jake Baker Boise

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Integrated into Nanowire Waveguides

Integrated into Nanowire Waveguides Supporting Information Widely Tunable Distributed Bragg Reflectors Integrated into Nanowire Waveguides Anthony Fu, 1,3 Hanwei Gao, 1,3,4 Petar Petrov, 1, Peidong Yang 1,2,3* 1 Department of Chemistry,

More information

Three Terminal Devices

Three Terminal Devices Three Terminal Devices - field effect transistor (FET) - bipolar junction transistor (BJT) - foundation on which modern electronics is built - active devices - devices described completely by considering

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Single suspended InGaAs nanowire MOSFETs

Single suspended InGaAs nanowire MOSFETs Single suspended InGaAs nanowire MOSFETs Zota, Cezar B.; Wernersson, Lars-Erik; Lind, Erik Published in: Technical Digest - International Electron Devices Meeting, IEDM DOI:.9/IEDM.5.7988 Published: 6--6

More information

Supplemental information for Selective GaSb Radial Growth on Crystal Phase Engineered InAs Nanowires

Supplemental information for Selective GaSb Radial Growth on Crystal Phase Engineered InAs Nanowires Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2015 Supplemental information for Selective GaSb Radial Growth on Crystal Phase Engineered InAs Nanowires

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

III-V Channel Transistors

III-V Channel Transistors III-V Channel Transistors Jesús A. del Alamo Professor Microsystems Technology Laboratories MIT Acknowledgements: Students and collaborators: D. Antoniadis, J. Lin, W. Lu, A. Vardi, X. Zhao Sponsors: Applied

More information

UNIT-VI FIELD EFFECT TRANSISTOR. 1. Explain about the Field Effect Transistor and also mention types of FET s.

UNIT-VI FIELD EFFECT TRANSISTOR. 1. Explain about the Field Effect Transistor and also mention types of FET s. UNIT-I FIELD EFFECT TRANSISTOR 1. Explain about the Field Effect Transistor and also mention types of FET s. The Field Effect Transistor, or simply FET however, uses the voltage that is applied to their

More information

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Robert. B. Bass, Jian. Z. Zhang and Aurthur. W. Lichtenberger Department of Electrical Engineering, University of

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si

Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si Berg, Martin; Kilpi, Olli-Pekka; Persson, Karl-Magnus; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik; Wernersson,

More information

Organic Electronics. Information: Information: 0331a/ 0442/

Organic Electronics. Information: Information:  0331a/ 0442/ Organic Electronics (Course Number 300442 ) Spring 2006 Organic Field Effect Transistors Instructor: Dr. Dietmar Knipp Information: Information: http://www.faculty.iubremen.de/course/c30 http://www.faculty.iubremen.de/course/c30

More information

Supporting Information. Silicon Nanowire - Silver Indium Selenide Heterojunction Photodiodes

Supporting Information. Silicon Nanowire - Silver Indium Selenide Heterojunction Photodiodes Supporting Information Silicon Nanowire - Silver Indium Selenide Heterojunction Photodiodes Mustafa Kulakci 1,2, Tahir Colakoglu 1, Baris Ozdemir 3, Mehmet Parlak 1,2, Husnu Emrah Unalan 2,3,*, and Rasit

More information

Infrared Perfect Absorbers Fabricated by Colloidal Mask Etching of Al-Al 2 O 3 -Al Trilayers

Infrared Perfect Absorbers Fabricated by Colloidal Mask Etching of Al-Al 2 O 3 -Al Trilayers Supporting Information Infrared Perfect Absorbers Fabricated by Colloidal Mask Etching of Al-Al 2 O 3 -Al Trilayers Thang Duy Dao 1,2,3,*, Kai Chen 1,2, Satoshi Ishii 1,2, Akihiko Ohi 1,2, Toshihide Nabatame

More information

Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers

Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers Receivers & Array Workshop 2010 September 20th, 2010 Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers Andreas R. Alt, Colombo R. Bolognesi Millimeter-Wave Electronics Group (MWE)

More information

High-efficiency, high-speed VCSELs with deep oxidation layers

High-efficiency, high-speed VCSELs with deep oxidation layers Manuscript for Review High-efficiency, high-speed VCSELs with deep oxidation layers Journal: Manuscript ID: Manuscript Type: Date Submitted by the Author: Complete List of Authors: Keywords: Electronics

More information

Integrated Circuits: FABRICATION & CHARACTERISTICS - 4. Riju C Issac

Integrated Circuits: FABRICATION & CHARACTERISTICS - 4. Riju C Issac Integrated Circuits: FABRICATION & CHARACTERISTICS - 4 Riju C Issac INTEGRATED RESISTORS Resistor in a monolithic IC is very often obtained by the bulk resistivity of one of the diffused areas. P-type

More information

Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si

Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si Memisevic, Elvedin; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik; Wernersson, Lars-Erik Published in: IEEE Electron

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

General look back at MESFET processing. General principles of heterostructure use in FETs

General look back at MESFET processing. General principles of heterostructure use in FETs SMA5111 - Compound Semiconductors Lecture 11 - Heterojunction FETs - General HJFETs, HFETs Last items from Lec. 10 Depletion mode vs enhancement mode logic Complementary FET logic (none exists, or is likely

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Enhanced Thermoelectric Performance of Rough Silicon Nanowires Allon I. Hochbaum 1 *, Renkun Chen 2 *, Raul Diaz Delgado 1, Wenjie Liang 1, Erik C. Garnett 1, Mark Najarian 3, Arun Majumdar 2,3,4, Peidong

More information

Supplementary information for: Surface passivated GaAsP single-nanowire solar cells exceeding 10% efficiency grown on silicon

Supplementary information for: Surface passivated GaAsP single-nanowire solar cells exceeding 10% efficiency grown on silicon Supplementary information for: Surface passivated GaAsP single-nanowire solar cells exceeding 10% efficiency grown on silicon Jeppe V. Holm 1, Henrik I. Jørgensen 1, Peter Krogstrup 2, Jesper Nygård 2,4,

More information

3-7 Nano-Gate Transistor World s Fastest InP-HEMT

3-7 Nano-Gate Transistor World s Fastest InP-HEMT 3-7 Nano-Gate Transistor World s Fastest InP-HEMT SHINOHARA Keisuke and MATSUI Toshiaki InP-based InGaAs/InAlAs high electron mobility transistors (HEMTs) which can operate in the sub-millimeter-wave frequency

More information

Supporting Information

Supporting Information Solution-processed Nickel Oxide Hole Injection/Transport Layers for Efficient Solution-processed Organic Light- Emitting Diodes Supporting Information 1. C 1s high resolution X-ray Photoemission Spectroscopy

More information

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 69 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array Roland Jäger and Christian Jung We have designed and fabricated

More information

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) 3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) Pei W. Ding, Kristel Fobelets Department of Electrical Engineering, Imperial College London, U.K. J. E. Velazquez-Perez

More information

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Supporting Information. Air-stable surface charge transfer doping of MoS 2 by benzyl viologen

Supporting Information. Air-stable surface charge transfer doping of MoS 2 by benzyl viologen Supporting Information Air-stable surface charge transfer doping of MoS 2 by benzyl viologen Daisuke Kiriya,,ǁ, Mahmut Tosun,,ǁ, Peida Zhao,,ǁ, Jeong Seuk Kang, and Ali Javey,,ǁ,* Electrical Engineering

More information

We are right on schedule for this deliverable. 4.1 Introduction:

We are right on schedule for this deliverable. 4.1 Introduction: DELIVERABLE # 4: GaN Devices Faculty: Dipankar Saha, Subhabrata Dhar, Subhananda Chakrabati, J Vasi Researchers & Students: Sreenivas Subramanian, Tarakeshwar C. Patil, A. Mukherjee, A. Ghosh, Prantik

More information

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Supporting Information

Supporting Information Supporting Information Highly Stretchable and Transparent Supercapacitor by Ag-Au Core Shell Nanowire Network with High Electrochemical Stability Habeom Lee 1, Sukjoon Hong 2, Jinhwan Lee 1, Young Duk

More information

Supplementary Information

Supplementary Information Supplementary Information Synthesis of hybrid nanowire arrays and their application as high power supercapacitor electrodes M. M. Shaijumon, F. S. Ou, L. Ci, and P. M. Ajayan * Department of Mechanical

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Normally-Off Operation of AlGaN/GaN Heterojunction Field-Effect Transistor with Clamping Diode

Normally-Off Operation of AlGaN/GaN Heterojunction Field-Effect Transistor with Clamping Diode JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.2, APRIL, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.2.221 ISSN(Online) 2233-4866 Normally-Off Operation of AlGaN/GaN

More information

Tokyo Institute of Technology, Yokohama , Japan

Tokyo Institute of Technology, Yokohama , Japan Impact of Thin Insertion for MOSFET K. Kakushima a, K. Okamoto b, M. Adachi b, K. Tachi b, S. Sato b, T. Kawanago b, J. Song b, P. Ahmet b, N. Sugii a, K. Tsutsui a, T. Hattori b and H. Iwai b a Interdisciplinary

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

VLSI Design. Introduction

VLSI Design. Introduction VLSI Design Introduction Outline Introduction Silicon, pn-junctions and transistors A Brief History Operation of MOS Transistors CMOS circuits Fabrication steps for CMOS circuits Introduction Integrated

More information