Innovative Technologies for RF & Power Applications

Size: px
Start display at page:

Download "Innovative Technologies for RF & Power Applications"

Transcription

1 Innovative Technologies for RF & Power Applications > Munich > Nov 14,

2 Key Technologies Key Technologies Veeco Market Focus Advanced Packaging, MEMS & RF Lighting, Display & Power Electronics Lithography Single Wafer Wet Etch and Clean Ion Beam Etch Scientific & Industrial Ion Beam Etch & Deposition MBE ALD Diamond-Like Carbon 34% 18% 14% 34% MOCVD Lithography Single Wafer Wet Etch and Clean Front-End Semiconductor Laser Spike Anneal 3D Inspection Ion Beam Etch Key Technologies Key Technologies 2

3 MOCVD and Metal Lift off steps in RF/Power devices RF/Power Amplifier Power Stack Metal Lift-Off MOCVD 3

4 RF & Power Electronics Solutions Key Veeco Technology Propel WaferStorm/WaferEtch Single-wafer reactor technology enables efficient, GaN-based power devices Single Wafer Metal lift off, PR Strip, Clean, and Etch processes for RF and Power Electronics Applications 4

5 MOCVD: Propel Single Wafer Technology 5

6 Propel Single Wafer Technology: Multiple Proven HVM Use Cases Power Si (6, 8 ) UV-LED Si nanowires RF SiC (4, 6 ) Si (8 ) Fine Pitch Saph. (6, 8 ) Si (8 ) Laser Diode GaN: (2 ) 6

7 Propel Single Wafer MOCVD Extendible to New Applications 1 st Semi style single wafer cluster tool for MOCVD GaN Power: Competitiveness over Si Lowest HVM epi costs with 8 wafers Tighter device parametrics distribution (Yield) Enable GaN integrated circuits GaN RF: GaN on Si & SiC for 5G Platforms Low loss buffer on SiC and high resistivity Si Low sheet resistance (< 200 W/sq) 10 GHz 100 GHz capable power amplifiers Best in class 8 performance Extendible to 300 mm wafers 300 mm Extendibility: New Applications GaN on Si for RF MMICs GaN on Si for Blue/Green Fine Pitch LEDs GaAs on Si for lower cost VCSELs InP / InGaAs on Si for integrated RF modules 7

8 Propel: RF & Power 8

9 What does GaN Epi have to deliver? Device Requirements Hi hi voltage Low harmonic distortion Low transmission loss Reliability Compact form factor Low cost Epi Requirements for GaN-SiC/Si Low R sh (< 250 Ω/ ) High saturation velocity High 2DEG (> 2x10 13 /cm 2 ) Low charge trapping Additional Epi Requirements for GaN-Si Low loss buffer Crystal quality (< 400 arc sec) 9

10 InAlN Helps Reduce Rsh for RF devices R sh 210 Ω/ ; < 2% 1σ Thickness Control: Max- Min: < 1% on 8 % In Control: Max- Min: <0.8% on 8 Extremely uniform temperature control helps achieve high uniform InAlN Good structural quality with well defined layer peak & fringes 10

11 Propel Provides Sharp Interfaces & No Memory Effects Sharp InAlN/GaN interface, <100ppm Ga carry-over Rapid turn-on / turn-off for Fe Established Protocol to Avoid Fe Carryover Dopant profile control for Mg in pgan is critical for Power devices & LEDS 11

12 Epi challenges for high voltage Power devices AlGaN Barrier GaN Channel C doped blocking layer High breakdown voltage & low leakages requires thicker stacks that result in: Long process times => Cost Wafer Stress => Edge artifacts Super Lattice Buffer layers AlN layer 8 Si (111) Propel Single Wafer provides : Wider process window Fast transition between steps Hot transfer & Turbo ramp Wafer edge stress management Full stack uniformity 0.88%, 1 (C-doped 8% AlGaN) 12

13 Single Wafer Technology Improves Power Devices CoO 5,5 5 4,5 4 3,5 3 2,5 2 CoO improvement with faster recipes Batch 8hr Recipe 4.5hr Recipe 4hr Recipe Wafer stress reduction for 725um Si 550V 660V 610V Propel Epi helps GaN become cost competitive to Si super junction at device level 13

14 Single Wafer MOCVD Lowers Epi Costs for 200 mm Wafers CoO Building Blocks High Productivity: >30 WPD (650V) High Uptime: >95% Low Parts & Gas Consumption Cluster: Capital & Footprint Efficiency Thick Stacks (> 4.5µm) > 650V Power Devices 1X Thin Stacks (< 2µm) 200V Power Devices & RF 0.6X 1X 0.5X 14

15 Precision Surface Processing (PSP) 15

16 Material Lift off (MLO) for RF & Power Applications Key Challenges Effective removal of difficult to etch material MLO Layers: Emitter metal Ti/Pt Base metal Pt/Ti Collector Metal Au/Ge/Ni Thin Film Resistor NiCr Metal0 Ti/Pt/Au MIM metal Ti/Pt/Au Material removal without damaging substrate Electrostatic discharge Substrate scratches Reduce cost (capital cost and chemistry usage) 16

17 ImmJET Metal Lift-Off Process Sequence IMMERSION STEP Lift-Off Polymer with negative angle Metal Deposited PR Solvent penetrates underlying photo resist Photo resist swells, breaks up and dissolves HIGH PRESSURE SPRAY STEP Flow of solvent will remove residual PR and lift metal off surface Clean metal pattern remains * Force required to completely remove PR influenced by Solubility of PR, Thickness of PR and Metal, Lift-Off structure angle 17

18 ImmJET for Material Lift-Off with NMP or DMSO ImmJET 6 Chamber Tool Combination Batch Immersion and Single Wafer Spray Wafer Input Immersion 1 HPC 1 SRD 1 Immersion 2 HPC 2 SRD 2 Immersion in Inert Environment Single Wafer Soak Processing Solvent Wet Wafer Transfers Solvent High Pressure Spray Heated, Re- Circulated Solvents Precision control of time, agitation, other required steps Maintain Solvent Film with 4-Blade Robot Heated, Recirculated with Flow Rate Control 18

19 Material Lift off (MLO) for RF & Power Applications WaferStorm Metal Lift Off: 2x throughput versus competitor single wafer technology 5x lower chemistry usage vs wet bench Single Wafer Metal lift off, PR Strip & Clean Industry leader in removing difficult to lift off materials Over 500 systems installed at major power electronics and RF manufacturers Successful Lift off in < 1um L/S features Pre Post 19

20 Summary Propel Single Wafer Reactor leverages semi standard design to deliver: > Best Film properties across broad application portfolio with Run to run stability 1. WiW uniformity with run to run stability and longest campaigns 2. Enabling technologies like InAlN with Minimal memory effects > Lowest Epi Cost due to 1. Highest productivity with lowest recipe times 2. Lowest consumables WaferStorm Single Wafer system leverages ImmJet TM technology to deliver > Best material removal performance without causing surface damage > Lowest Cost of Ownership 1. 5x lower chemistry usage than batch systems 2. 2x higher throughput versus other single wafer systems 20

21 Thank You 21

PROCESS DEVELOPMENT FOR SMALL-AREA GaN/AlGaN HBT s

PROCESS DEVELOPMENT FOR SMALL-AREA GaN/AlGaN HBT s PROCESS DEVELOPMENT FOR SMALL-AREA GaN/AlGaN HBT s K.P.Lee (1), A.P.Zhang (1), G.Dang (1), F.Ren (1), J.Han (2), W.S.Hobson (3), J.Lopata (3), C.R.Abernathy (1), S.J.Pearton (1), J.W.Lee (4) (1) University

More information

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Kjeld Pedersen Department of Physics and Nanotechnology, AAU SEMPEL Semiconductor Materials for Power Electronics

More information

FOUNDRY SERVICE. SEI's FEATURE. Wireless Devices FOUNDRY SERVICE. SRD-800DD, SRD-500DD D-FET Process Lg=0.8, 0.5µm. Ion Implanted MESFETs SRD-301ED

FOUNDRY SERVICE. SEI's FEATURE. Wireless Devices FOUNDRY SERVICE. SRD-800DD, SRD-500DD D-FET Process Lg=0.8, 0.5µm. Ion Implanted MESFETs SRD-301ED FOUNDRY SERVICE 01.04. Foundry services have been one of the core businesses at SEI, providing sophisticated GaAs IC technology for all customers. SEI offers very flexible service to support the customers

More information

International Workshop on Nitride Semiconductors (IWN 2016)

International Workshop on Nitride Semiconductors (IWN 2016) International Workshop on Nitride Semiconductors (IWN 2016) Sheng Jiang The University of Sheffield Introduction The 2016 International Workshop on Nitride Semiconductors (IWN 2016) conference is held

More information

Chapter 1. Introduction

Chapter 1. Introduction Chapter 1 Introduction 1.1 Introduction of Device Technology Digital wireless communication system has become more and more popular in recent years due to its capability for both voice and data communication.

More information

GaN: Applications: Optoelectronics

GaN: Applications: Optoelectronics GaN: Applications: Optoelectronics GaN: Applications: Optoelectronics - The GaN LED industry is >10 billion $ today. - Other optoelectronic applications of GaN include blue lasers and UV emitters and detectors.

More information

Gallium nitride (GaN)

Gallium nitride (GaN) 80 Technology focus: GaN power electronics Vertical, CMOS and dual-gate approaches to gallium nitride power electronics US research company HRL Laboratories has published a number of papers concerning

More information

Advanced Plasma Technology. High precision film thickness trimming for the TFH industry. Roth & Rau AG September 2009

Advanced Plasma Technology. High precision film thickness trimming for the TFH industry. Roth & Rau AG September 2009 Advanced Plasma Technology High precision film thickness trimming for the TFH industry Roth & Rau AG September 2009 Product Overview IonScan Equipment for ultra-precise Surface Processing IonScan 800 Wafer

More information

GaN power electronics

GaN power electronics GaN power electronics The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published Publisher Lu, Bin, Daniel Piedra, and

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

Ultra High-Speed InGaAs Nano-HEMTs

Ultra High-Speed InGaAs Nano-HEMTs Ultra High-Speed InGaAs Nano-HEMTs 2003. 10. 14 Kwang-Seok Seo School of Electrical Eng. and Computer Sci. Seoul National Univ., Korea Contents Introduction to InGaAsNano-HEMTs Nano Patterning Process

More information

High Power Performance InP/InGaAs Single HBTs

High Power Performance InP/InGaAs Single HBTs High Power Performance InP/InGaAs Single HBTs D Sawdai, K Hong, A Samelis, and D Pavlidis Solid-State Electronics Laboratory, Department of Electrical Engineering and Computer Science, The University of

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER What I will show you today 200mm/8-inch GaN-on-Si e-mode/normally-off technology

More information

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links Monolithically integrated InGaAs nanowires on 3D structured silicon-on-insulator as a new platform for full optical links Hyunseok Kim 1, Alan C. Farrell 1, Pradeep Senanayake 1, Wook-Jae Lee 1,* & Diana.

More information

GaN MMIC PAs for MMW Applicaitons

GaN MMIC PAs for MMW Applicaitons GaN MMIC PAs for MMW Applicaitons Miroslav Micovic HRL Laboratories LLC, 311 Malibu Canyon Road, Malibu, CA 9265, U. S. A. mmicovic@hrl.com Motivation for High Frequency Power sources 6 GHz 11 GHz Frequency

More information

System100Pro. Production tools for wafer processing. The Business of Science

System100Pro. Production tools for wafer processing. The Business of Science System100Pro Production tools for wafer processing The Business of Science Process tools & modules Oxford Instruments' System100Pro production tools are built on 200 mm, 300 mm and multiwafer batch process

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process

Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process H.Stieglauer 1, J.Nösser 1, A.Miller 1, M.Lanz 1, D.Öttlin 1, G.Jonsson 1, D.Behammer 1, C.Landesberger 2,

More information

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02 EE 5611 Introduction to Microelectronic Technologies Fall 2014 Thursday, September 04, 2014 Lecture 02 1 Lecture Outline Review on semiconductor materials Review on microelectronic devices Example of microelectronic

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

GaN Electrochemical Probes and MEMS on Silicon. Ulrich Heinle, Peter Benkart, Ingo Daumiller, Mike Kunze, Ertugrul Sönmez

GaN Electrochemical Probes and MEMS on Silicon. Ulrich Heinle, Peter Benkart, Ingo Daumiller, Mike Kunze, Ertugrul Sönmez GaN Electrochemical Probes and MEMS on Silicon Ulrich Heinle, Peter Benkart, Ingo Daumiller, Mike Kunze, Ertugrul Sönmez Outline Introduction Electrochemical sensors GaN-on-Silicon MEMS High temperature

More information

III-Nitride microwave switches Grigory Simin

III-Nitride microwave switches Grigory Simin Microwave Microelectronics Laboratory Department of Electrical Engineering, USC Research Focus: - Wide Bandgap Microwave Power Devices and Integrated Circuits - Physics, Simulation, Design and Characterization

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

SOI technology platforms for 5G: Opportunities of collaboration

SOI technology platforms for 5G: Opportunities of collaboration SOI technology platforms for 5G: Opportunities of collaboration Dr. Ionut RADU Director, R&D SOITEC MOS AK workshop, Silicon Valley December 6th, 2017 Sourcing value from substrate Robert E. White ISBN-13:

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Microwave & RF 22 nd of March 2018 D. FLORIOT

Microwave & RF 22 nd of March 2018 D. FLORIOT Microwave & RF 22 nd of March 2018 D. FLORIOT Outine Introduction GaN technology roadmap GH15-10 : Up to Ka band GH10 : Towards high frequency (Q / V bands) GaN : Technology & Integration 2 UMS at a glance

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

CLUSTERLINE RAD VERSATILE DYNAMIC SPUTTER SYSTEM OPTOELECTRONICS, MEMS, PHOTONICS, WIRELESS

CLUSTERLINE RAD VERSATILE DYNAMIC SPUTTER SYSTEM OPTOELECTRONICS, MEMS, PHOTONICS, WIRELESS CLUSTERLINE RAD VERSATILE DYNAMIC SPUTTER SYSTEM OPTOELECTRONICS, MEMS, PHOTONICS, WIRELESS CLUSTERLINE RAD Enabling your roadmap in thin film deposition The combination of Evatec s process know-how and

More information

Obducat NIL 6. Nanoimprinting with NRF s NIL 6

Obducat NIL 6. Nanoimprinting with NRF s NIL 6 Obducat NIL 6 Substrates: pieces to 6 inch, hard or soft Thermal cure with PMMA, MR I 7010 etc Alignment to about 3 microns Temperature to 300 HC Pressure 15 to 80 bars Resolution < 50 nm possible Up to

More information

From Possible to Practical The Evolution of Nanoimprint for Patterned Media

From Possible to Practical The Evolution of Nanoimprint for Patterned Media From Possible to Practical The Evolution of Nanoimprint for Patterned Media Paul Hofemann March 13, 2009 HDD Areal Density Industry Roadmap 10,000 Media Technology Roadmap Today Areal Density (Gbit/in

More information

III-V CMOS: the key to sub-10 nm electronics?

III-V CMOS: the key to sub-10 nm electronics? III-V CMOS: the key to sub-10 nm electronics? J. A. del Alamo Microsystems Technology Laboratories, MIT 2011 MRS Spring Meeting and Exhibition Symposium P: Interface Engineering for Post-CMOS Emerging

More information

High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications

High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications Zhongda Li, John Waldron, Shinya Takashima, Rohan Dayal, Leila Parsa, Mona Hella, and T. Paul Chow Department

More information

Power Semiconductor Devices - Silicon vs. New Materials. Si Power Devices The Dominant Solution Today

Power Semiconductor Devices - Silicon vs. New Materials. Si Power Devices The Dominant Solution Today Power Semiconductor Devices - Silicon vs. New Materials Jim Plummer Stanford University IEEE Compel Conference July 10, 2017 Market Opportunities for Power Devices Materials Advantages of SiC and GaN vs.

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

Sub 300 nm Wavelength III-Nitride Tunnel-Injected Ultraviolet LEDs

Sub 300 nm Wavelength III-Nitride Tunnel-Injected Ultraviolet LEDs Sub 300 nm Wavelength III-Nitride Tunnel-Injected Ultraviolet LEDs Yuewei Zhang, Sriram Krishnamoorthy, Fatih Akyol, Sadia Monika Siddharth Rajan ECE, The Ohio State University Andrew Allerman, Michael

More information

We are right on schedule for this deliverable. 4.1 Introduction:

We are right on schedule for this deliverable. 4.1 Introduction: DELIVERABLE # 4: GaN Devices Faculty: Dipankar Saha, Subhabrata Dhar, Subhananda Chakrabati, J Vasi Researchers & Students: Sreenivas Subramanian, Tarakeshwar C. Patil, A. Mukherjee, A. Ghosh, Prantik

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

Prepared by: Dr. Rishi Prakash, Dept of Electronics and Communication Engineering Page 1 of 5

Prepared by: Dr. Rishi Prakash, Dept of Electronics and Communication Engineering Page 1 of 5 Microwave tunnel diode Some anomalous phenomena were observed in diode which do not follows the classical diode equation. This anomalous phenomena was explained by quantum tunnelling theory. The tunnelling

More information

General look back at MESFET processing. General principles of heterostructure use in FETs

General look back at MESFET processing. General principles of heterostructure use in FETs SMA5111 - Compound Semiconductors Lecture 11 - Heterojunction FETs - General HJFETs, HFETs Last items from Lec. 10 Depletion mode vs enhancement mode logic Complementary FET logic (none exists, or is likely

More information

1B John D. Cressler, Silicon Earth: Introduction to the Microelectronics and Nanotechnology Revolution, Cambridge University Press, 2009 ( Copyright 2

1B John D. Cressler, Silicon Earth: Introduction to the Microelectronics and Nanotechnology Revolution, Cambridge University Press, 2009 ( Copyright 2 1A John D. Cressler, Silicon Earth: Introduction to the Microelectronics and Nanotechnology Revolution, Cambridge University Press, 2009 ( Copyright 2009, All Rights Reserved) John D. Cressler, ECE 3450

More information

Low Noise, Matched Dual PNP Transistor MAT03

Low Noise, Matched Dual PNP Transistor MAT03 a FEATURES Dual Matched PNP Transistor Low Offset Voltage: 100 V max Low Noise: 1 nv/ Hz @ 1 khz max High Gain: 100 min High Gain Bandwidth: 190 MHz typ Tight Gain Matching: 3% max Excellent Logarithmic

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

Strip Detectors. Principal: Silicon strip detector. Ingrid--MariaGregor,SemiconductorsasParticleDetectors. metallization (Al) p +--strips

Strip Detectors. Principal: Silicon strip detector. Ingrid--MariaGregor,SemiconductorsasParticleDetectors. metallization (Al) p +--strips Strip Detectors First detector devices using the lithographic capabilities of microelectronics First Silicon detectors -- > strip detectors Can be found in all high energy physics experiments of the last

More information

High-Ohmic Resistors using Nanometer-Thin Pure-Boron Chemical-Vapour-Deposited Layers

High-Ohmic Resistors using Nanometer-Thin Pure-Boron Chemical-Vapour-Deposited Layers High-Ohmic Resistors using Nanometer-Thin Pure-Boron Chemical-Vapour-Deposited Layers Negin Golshani, Vahid Mohammadi, Siva Ramesh, Lis K. Nanver Delft University of Technology The Netherlands ESSDERC

More information

E-MODE III-N HIGH-VOLTAGE TRANSISTOR DEVELOPMENT

E-MODE III-N HIGH-VOLTAGE TRANSISTOR DEVELOPMENT 1 E-MODE III-N HIGH-VOLTAGE TRANSISTOR DEVELOPMENT 1 st -Year Final Project Report (Feb 2010 March 2011) Presented to Intersil Corp., Milpitas CA Program Manager: Dr. François Hébert Georgia Tech PIs:

More information

Gallium Nitride & Related Wide Bandgap Materials and Devices

Gallium Nitride & Related Wide Bandgap Materials and Devices Gallium Nitride & Related Wide Bandgap Materials and Devices Dr. Edgar J. Martinez Program Manager DARPATech 2000 GaAs IC Markets 1999 Market $11 Billion 2005 Market $20 Billion Consumers 2% Computers

More information

Ion beam etch and deposition systems

Ion beam etch and deposition systems Ion beam etch and deposition systems The Business of Science Ion beam systems Ion beam technology offers unique abilities in etch and deposition Oxford Instruments offers a single tool, allowing the flexibility

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

3-7 Nano-Gate Transistor World s Fastest InP-HEMT

3-7 Nano-Gate Transistor World s Fastest InP-HEMT 3-7 Nano-Gate Transistor World s Fastest InP-HEMT SHINOHARA Keisuke and MATSUI Toshiaki InP-based InGaAs/InAlAs high electron mobility transistors (HEMTs) which can operate in the sub-millimeter-wave frequency

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Indium Phosphide and Related Materials Selectively implanted subcollector DHBTs

Indium Phosphide and Related Materials Selectively implanted subcollector DHBTs Indium Phosphide and Related Materials - 2006 Selectively implanted subcollector DHBTs Navin Parthasarathy, Z. Griffith, C. Kadow, U. Singisetti, and M.J.W. Rodwell Dept. of Electrical and Computer Engineering,

More information

Lecture Course. SS Module PY4P03. Dr. P. Stamenov

Lecture Course. SS Module PY4P03. Dr. P. Stamenov Semiconductor Devices - 2013 Lecture Course Part of SS Module PY4P03 Dr. P. Stamenov School of Physics and CRANN, Trinity College, Dublin 2, Ireland Hilary Term, TCD 01 st of Feb 13 Diode Current Components

More information

The HGTD: A SOI Power Diode for Timing Detection Applications

The HGTD: A SOI Power Diode for Timing Detection Applications The HGTD: A SOI Power Diode for Timing Detection Applications Work done in the framework of RD50 Collaboration (CERN) M. Carulla, D. Flores, S. Hidalgo, D. Quirion, G. Pellegrini IMB-CNM (CSIC), Spain

More information

Volume 21 Issue IV News Review, News Analysis, Features, Research Review and much more.

Volume 21 Issue IV   News Review, News Analysis, Features, Research Review and much more. Volume 21 Issue IV 2015 @compoundsemi www.compoundsemiconductor.net Exposing a fl aw in p-type GaN Making MOSFETs for motoring Veeco A brighter future for LEDs Shares: The good, bad and ugly Instant imaging

More information

Advanced Packaging Solutions

Advanced Packaging Solutions Advanced Packaging Solutions by USHIO INC. USHIO s UX Series Providing Advanced Packaging Solutions Page 2 USHIO s UX Series Models Featured @ SEMICON West 2013 Page 2 Large-Size Interposer Stepper UX7-3Di

More information

3D Integration Using Wafer-Level Packaging

3D Integration Using Wafer-Level Packaging 3D Integration Using Wafer-Level Packaging July 21, 2008 Patty Chang-Chien MMIC Array Receivers & Spectrographs Workshop Pasadena, CA Agenda Wafer-Level Packaging Technology Overview IRAD development on

More information

From Bulk Gallium Nitride Material to Vertical GaN Devices

From Bulk Gallium Nitride Material to Vertical GaN Devices From Bulk Gallium Nitride Material to Vertical GaN Devices Thomas Mikolajick 1,2, Stefan Schmult 2, Rico Hentschel 1, Patrick Hofmann 1, and Andre Wachowiak 1 1 NaMLab ggmbh 2 Chair of Nanoelectronic Materials,

More information

Low Noise, Matched Dual PNP Transistor MAT03

Low Noise, Matched Dual PNP Transistor MAT03 a FEATURES Dual Matched PNP Transistor Low Offset Voltage: 100 V Max Low Noise: 1 nv/ Hz @ 1 khz Max High Gain: 100 Min High Gain Bandwidth: 190 MHz Typ Tight Gain Matching: 3% Max Excellent Logarithmic

More information

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI 1 Integrated diodes pn junctions of transistor structures can be used as integrated diodes. The choice of the junction is limited by the considerations of switching speed and breakdown voltage. The forward

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Laser Application DAL7020 DFL7020 DFL7161 DFL7160 DFL7341 DFL7360FH DFL7361 DFL7560L. Ablation Process. Stealth Dicing.

Laser Application DAL7020 DFL7020 DFL7161 DFL7160 DFL7341 DFL7360FH DFL7361 DFL7560L. Ablation Process. Stealth Dicing. Laser Application Ablation Process Stealth Dicing Laser Lift Off DAL7020 DFL7020 DFL7161 DFL7160 DFL7341 DFL7360FH DFL7361 DFL7560L ABLATION PROCESS DISCO s laser application lineup supports miniaturized

More information

Final Report. Contract Number Title of Research Principal Investigator

Final Report. Contract Number Title of Research Principal Investigator Final Report Contract Number Title of Research Principal Investigator Organization N00014-05-1-0135 AIGaN/GaN HEMTs on semi-insulating GaN substrates by MOCVD and MBE Dr Umesh Mishra University of California,

More information

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs MBE 2008, Vancouver, B.C. Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs Mark Wistey, Greg Burek, Uttam Singisetti, Austin Nelson, Brian Thibeault, Joël Cagnon, Susanne Stemmer, Arthur

More information

Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers

Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers Receivers & Array Workshop 2010 September 20th, 2010 Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers Andreas R. Alt, Colombo R. Bolognesi Millimeter-Wave Electronics Group (MWE)

More information

IQE Plc. H Results, September Drew Nelson, CEO Phil Rasmussen, CFO. Enabling Advanced Technologies

IQE Plc. H Results, September Drew Nelson, CEO Phil Rasmussen, CFO. Enabling Advanced Technologies IQE Plc H1 2017 Results, September 2017 Drew Nelson, CEO Phil Rasmussen, CFO Safe harbour statement No accountant, lawyer or broker has reviewed this presentation or commented on its merits. No representation

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Novel SiC Junction Barrier Schottky Diode Structure for Efficiency Improvement of EV Inverter

Novel SiC Junction Barrier Schottky Diode Structure for Efficiency Improvement of EV Inverter EVS28 KINTEX, Korea, May 3-6, 2015 Novel SiC Junction Barrier Schottky iode Structure for Efficiency Improvement of EV Inverter ae Hwan Chun, Jong Seok Lee, Young Kyun Jung, Kyoung Kook Hong, Jung Hee

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Graded P-AlGaN Superlattice for Reduced Electron Leakage in Tunnel- Injected UVC LEDs

Graded P-AlGaN Superlattice for Reduced Electron Leakage in Tunnel- Injected UVC LEDs Graded P-AlGaN Superlattice for Reduced Electron Leakage in Tunnel- Injected UVC LEDs Yuewei Zhang, Sriram Krishnamoorthy, Fatih Akyol, Zane Jamal-Eddine Siddharth Rajan ECE, The Ohio State University

More information

Jan Bogaerts imec

Jan Bogaerts imec imec 2007 1 Radiometric Performance Enhancement of APS 3 rd Microelectronic Presentation Days, Estec, March 7-8, 2007 Outline Introduction Backside illuminated APS detector Approach CMOS APS (readout)

More information

Ion Beam Lithography next generation nanofabrication

Ion Beam Lithography next generation nanofabrication Ion Beam Lithography next generation nanofabrication EFUG Bordeaux 2011 ion beams develop Lloyd Peto IBL sales manager Copyright 2011 by Raith GmbH ionline new capabilities You can now Apply an ion beam

More information

LED Cost and Technology Trends: How to enable massive adoption in general lighting

LED Cost and Technology Trends: How to enable massive adoption in general lighting LED Cost and Technology Trends: How to enable massive adoption in general lighting SEMICON West 2011 Moscone Center, San Francisco June 13 th 2011 Lumileds Lumileds OSRAM Aixtron CREE OSRAM OKI OSRAM 45

More information

EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98

EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98 EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98 Experiment # 3: Oxidation of silicon - Oxide etching and Resist stripping Measurement of oxide thickness using

More information

State-of-The-Art Dielectric Etch Technology

State-of-The-Art Dielectric Etch Technology State-of-The-Art Dielectric Etch Technology Koichi Yatsuda Product Marketing Manager Etch System Business Unit November 5 th, 2010 TM Outline Dielectric Etch Challenges for State-of-The-Art Devices Control

More information

AlGaN/GaN HEMTs and HBTs

AlGaN/GaN HEMTs and HBTs AlGaN/GaN HEMTs and HBTs Umesh K. Mishra PART I AlGaN/GaN HEMTs Materials Properties Comparison Material µ ε Eg BFOM JFM Tmax Ratio Ratio Si 1300 11.4 1.1 1.0 1.0 300 C GaAs 5000 13.1 1.4 9.6 3.5 300 C

More information

AWP TM - DEF/DEW Premium Flexo Plate With Pinning Technology For Clean Transfer

AWP TM - DEF/DEW Premium Flexo Plate With Pinning Technology For Clean Transfer ASAHI AWP TM - DEF/DEW Premium Flexo Plate With Pinning Technology For Clean Transfer Asahi s AWP TM - DEF/DEW digital flexo plates are part of the premium»pinning Technology for Clean Transfer«plates

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Room-temperature continuous-wave electrically injected InGaN-based laser directly grown on Si Authors: Yi Sun 1,2, Kun Zhou 1, Qian Sun 1 *, Jianping Liu 1, Meixin Feng 1, Zengcheng Li 1, Yu Zhou 1, Liqun

More information

Fabrication and Characterization of Broad-Area Lasers with Dry-Etched Mirrors

Fabrication and Characterization of Broad-Area Lasers with Dry-Etched Mirrors Broad-Area Lasers with Dry-Etched Mirrors 31 Fabrication and Characterization of Broad-Area Lasers with Dry-Etched Mirrors Franz Eberhard and Eckard Deichsel Using reactive ion-beam etching (RIBE) we have

More information

DC Analysis of InP/GaAsSb DHBT Device Er. Ankit Sharma 1, Dr. Sukhwinder Singh 2

DC Analysis of InP/GaAsSb DHBT Device Er. Ankit Sharma 1, Dr. Sukhwinder Singh 2 IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 5, Ver. I (Sep - Oct.2015), PP 48-52 www.iosrjournals.org DC Analysis of InP/GaAsSb

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

USCi MOSFET progress (ARL HVPT program)

USCi MOSFET progress (ARL HVPT program) USCi MOSFET progress (ARL HVPT program) L. Fursin, X. Huang, W. Simon, M. Fox, J. Hostetler, X. Li, A. Bhalla Aug 18, 2016 Contents USCi product line 1200V MOSFET progress 10kV IGBT and MPS progress 2

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling Goodbye Microelectronics Welcome Nanoelectronics Sub-micron technology IC fabrication process trends SOI technology SiGe Tranzistor in 50nm process Virus The thickness of gate oxide= 1.2 nm!!! Today we

More information

Application Note AN-1011

Application Note AN-1011 AN-1011 Board Mounting Application Note for 0.800mm Pitch Devices For part numbers IRF6100, IRF6100PBF, IR130CSP, IR130CSPPBF, IR140CSP, IR140CSPPBF, IR1H40CSP, IR1H40CSPPBF By Hazel Schofield and Philip

More information

InGaAs MOSFETs for CMOS:

InGaAs MOSFETs for CMOS: InGaAs MOSFETs for CMOS: Recent Advances in Process Technology J. A. del Alamo, D. Antoniadis, A. Guo, D.-H. Kim 1, T.-W. Kim 2, J. Lin, W. Lu, A. Vardi and X. Zhao Microsystems Technology Laboratories,

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Real time plasma etch control by means of physical plasma parameters with HERCULES

Real time plasma etch control by means of physical plasma parameters with HERCULES Real time plasma etch control by means of physical plasma parameters with HERCULES A. Steinbach 1) S. Bernhard 1) M. Sussiek 4) S. Wurm 2) Ch. Koelbl 3) D. Knobloch 1) Siemens, Dresden Siemens at International

More information

GaN is Finally Here for Commercial RF Applications!

GaN is Finally Here for Commercial RF Applications! GaN is Finally Here for Commercial RF Applications! Eric Higham Director of GaAs & Compound Semiconductor Technologies Strategy Analytics Gallium Nitride (GaN) has been a technology with so much promise

More information

Photo-resist Stripper

Photo-resist Stripper Photo-resist Stripper TAIWAX MAXWAVE Co., Ltd, No. 999, Bayiu 1 st Rd., Guanyin Township, Taoyuan County Taiwan Evan Chen 2016 Outline Introduction The Characteristic of Negative and Positive Photoresists

More information

GaN based Power Devices. Michael A. Briere. RPI CFES Conference

GaN based Power Devices. Michael A. Briere. RPI CFES Conference GaN based Power Devices Michael A. Briere ACOO Enterprises LLC Under contract to International Rectifier RPI CFES Conference January 25, 2013 1 Motivation : Potential Energy Savings Worldwide M.A. Briere

More information

Low Temperature Integration of Thin Films and Devices for Flexible and Stretchable Electronics

Low Temperature Integration of Thin Films and Devices for Flexible and Stretchable Electronics Low Temperature Integration of Thin Films and Devices for Flexible and Stretchable Electronics Pooran Joshi, Stephen Killough, and Teja Kuruganti Oak Ridge National Laboratory FIIW 2015 Displays and PV

More information

ORGANIC ELECTRONICS: PHOTOLITHOGRAPHY OR PRINTING. Giles Lloyd Flex Europe Conference, 25th October 2016

ORGANIC ELECTRONICS: PHOTOLITHOGRAPHY OR PRINTING. Giles Lloyd Flex Europe Conference, 25th October 2016 ORGANIC ELECTRONICS: PHOTOLITHOGRAPHY OR PRINTING Giles Lloyd Flex Europe Conference, 25th October 2016 Organic Electronics: Photoligthography or Printing? Lithography Printing Enabling flexible TFT sheet-fed

More information

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

LED technology: MOCVD for SSL. Challenges & Solutions

LED technology: MOCVD for SSL. Challenges & Solutions SEMICON Europe 2009 Emerging Markets LED technology: MOCVD for SSL Challenges & Solutions Dr. Frank Schulte AIXTRON AG Outline The LED market and its requirements Productivity and cost challenges Solutions

More information