Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs

Size: px
Start display at page:

Download "Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs"

Transcription

1 MBE 2008, Vancouver, B.C. Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs Mark Wistey, Greg Burek, Uttam Singisetti, Austin Nelson, Brian Thibeault, Joël Cagnon, Susanne Stemmer, Arthur Gossard, Mark Rodwell University of California, Santa Barbara Seth Bank University of Texas-Austin

2 Outline Motivation: Can InGaAs MOSFETs beat Si? Contact resistance is key: Rc ~ 1 / f 2 Planarization & Etchback Self-aligned Regrown Low Resistance Contacts and MEE InGaP Etch Stop Layer Conclusions 2

3 In Order to Beat Silicon... Source n+ Regrowth Raccess Rc{ Gate High-k Channel Barrier Substrate Drain Rsd = 180 Ω-µm Target... Strategy... InxGa1-xAs channel Ids = 6 ma/µm & low gate leakage Lg = 22 nm, low SD leakage High gate barrier: MOSFET High back barrier: AlGaAs Rc = 1 Ω-µm 2 (10-8 Ω-cm 2 ) Raccess = 10 Ω-µm Rc ~ 1 / f 2 Regrow S/D epitaxially.* Backfill channel recess etch.* *Major challenges for MBE. Source: Rodwell IPRM

4 Surface Cleaning for Regrowth Encapsulate all gate metals Surface clean: Recess etch UV ozone 30min 1:10 HCl:H2O for 1 min, DI rinse UHV bake 200 C H clean or thermally desorb oxide No extended defects, low contact resistance: 1.3 Ω-µm 2 Channel HRTEM InGaAs n+ blanket regrowth Interface HAADF-STEM` Interface Resistance (!) TLM Measurement T=100µm n-3.6e19 cm -3 W=25µm InGaAs n+ 2 nm Rc=1.3 Ω-µm Gap (µm) 4

5 Planarization & Etchback Goal: self-aligned, selective area contacts 2. O2 Ash or Developer Polymer Regrowth Channel Regrowth Channel 3. Mo & InGaAs Etch 4. Strip resist Polymer Source Regrowth Channel Regrowth Channel Drain 5

6 Planarization & Etchback Goal: self-aligned, selective area contacts 1. Spin on thick polymer Polymer 2. O2 Ash or Developer Polymer Regrowth Channel Regrowth Channel 3. Mo & InGaAs Etch 4. Strip resist Polymer Source Regrowth Channel Regrowth Channel Drain 5

7 Planarization & Etchback Goal: self-aligned, selective area contacts 1. Spin on thick polymer Polymer 2. O2 Ash or Developer Polymer Regrowth Channel Regrowth Channel 3. Mo & InGaAs Etch 4. Strip resist Polymer Source Regrowth Channel Regrowth Channel Drain 5

8 Planarization & Etchback Goal: self-aligned, selective area contacts 1. Spin on thick polymer Polymer 2. O2 Ash or Developer Polymer Regrowth Channel Regrowth Channel 3. Mo & InGaAs Etch 4. Strip resist Polymer Source Regrowth Channel Regrowth Channel Drain 5

9 Choice of Polymers PMGI / MIF developer BCB / CF4+O2 SPR510 / O2 plasma 1µm Lost in Mo etch Lost in Mo etch (Gate missing) Difficult to process Smooth & uniform Spin: 4kRPM 30s. Bake: 90 C 1min, 110 C 1min. Photoresist (SPR-510): Easy to process Withstands wet & dry etches Smooth & uniform... 6

10 Low Power Plasma Gives Smooth Etchback Etchback in high energy O2 plasma: Extreme roughness (micromasking) Scum Etchback in low energy O2 plasma (ICP) or UV ozone: Clean, smooth surfaces, no scum After etchback After Mo etch and PR strip: No Mo Mo 7

11 Planarization: Repeatable and Easy Thickness by naked eye Purple/Blue 300nm Yellow 200nm Light Blue 100nm Burnt/Black <70nm High yield esp. for <1µm Mo+InGaAs SPR-510A SiO 2 Cr 8

12 MBE Regrowth: Bad at any Temperature? Conditions: 0.5 µm/hr, V/III=35 Low growth temperature (<400 C): Smooth in far field Gap near gate (shadowing) No contact to channel! Gate 200nm Gap Source-Drain Regrowth s e r Channel SEMs: Uttam Singisetti 9

13 MBE Regrowth: Bad at any Temperature? Conditions: 0.5 µm/hr, V/III=35 Low growth temperature (<400 C): Smooth in far field Gap near gate (shadowing) No contact to channel! Gate 200nm Gap Source-Drain Regrowth s e r Channel Gate High growth temperature (>490 C): Selective/preferential epi on InGaAs No gaps near gate Rough far field High resistance Source-Drain Regrowth Regrowth: 50nm InGaAs:Si, 5nm InAs:Si. Si=8E19/cm3, 20nm Mo. SEMs: Uttam Singisetti 9

14 Gap-free Regrowth by MEE Migration-Enhanced Epitaxy (MEE) conditions: C (pyrometer) As flux constant ~ 1x10-6 Torr: V/III~3, not interrupted. 0.5nm InGaAs:Si pulses (3.7 sec), sec As soak RHEED: 4x2 ==> 1x2 ==> 4x2 with each pulse. SEM Cross Section SEM Side View (Oblique) Top of gate dummy gate dummy gate InGaAs Regrowth Original Interface InGaAs Regrowth SEM: Greg Burek SEM: Uttam Singisetti No gaps Smooth surfaces. High Si activation (4x10 19 cm -3 ). Quasi-selective: no growth on sidewalls 10

15 Rough Regrowth on Thin InP Etch Stop Layer Conversion of 2-4nm InP to InAs Strain relaxation As P InGaAs InP etch stop (2-4 nm) InAlAs barrier As 11

16 Rough Regrowth on Thin InP Etch Stop Layer InP regrowth RHEED InP regrowth SEM SEM: U. Singisetti Conversion of 2-4nm InP to InAs Strain relaxation As P InGaAs InP etch stop (2-4 nm) InAlAs barrier InAs InGaAs InAlAs barrier InAs As 11

17 Regrowth on InGaP Replace InP with InGaP Converts to InGaAs (good!) Strain compensation As P InGaAs InGaP Converted from InGaP InGaAs InGaAs InGaP InGaP InAlAs barrier InGaP regrowth RHEED InGaP regrowth SEM 2 12

18 Summary Surface clean before regrowth: UV ozone, 10% HCl, then H clean or thermal desorb Lowest resistance regrown contacts: Rc = 1.3 Ω-µm 2 (1.3x10-8 Ω cm 2 ) Planarization by photoresist: simple & repeatable No lithography needed MBE + Planarization = Self-Aligned Regrowth Gap-free regrowth (n=4x10 19 cm -3 ) by MEE above 490 C InGaP etch stop prevents relaxation before regrowth 13

19 Acknowledgements Chris Palmstrøm and Erdem Arkun (now at UCSB) IBM Yorktown: Yanning Sun, Edward Kiewra, Devendra Sadana SRC 14

20 Additional Slides 15

21 Transmission Line Method contact Length (L) contact Conducting layer R(L) = (R SH /W)*(L+2L t ) R(0) = 2R c = 2L t *R SH /W 2L t r c = R c *A c r c = R SH *L t 2 2R c Slide courtesy Adam Crook, 2007

22 Transmission Line Method I 2L t 2R c Width Gap V 4-Point Probe Resistance measurements Resistance (!) TLM Measurement 100µm n-3.6e19 cm -3 W=25µm Rc=1.3x10-8 Ω-cm Gap (µm) + Image courtesy Adam Crook, 2007

23 Contact Resistance: In-situ Mo Contacts Step Ω-µm 2 In-situ Mo n+ Regrowth 0.5 Ω-µm 2 Step 1 In-situ Mo n+ InGaAs SI InP n+ Regrowth n+ InGaAs SI InP In-situ Mo n+ Regrowth In-situ Mo InGaAs-InGaAs regrown interface resistance < 1 Ω -µm 2 on unprocessed surfaces. Regrown interfaces comparable with 0.5 Ω-µm 2 from continuous epitaxy. TLMs by U. Singisetti 18

24 19 SRC Nonclassical CMOS Research Center MOSFET Process Flow Detail M. Rodwell, SRC Review, 2008 non-selective area S/D regrowth PR PR selective area S/D regrowth

25 Process Flow: Gate Deposition High-k first on pristine channel. Tall gate stack. Litho. Selective etches to channel. NID InGaAs Channel InP etch stop InAlAs barrier InP substrate 20

26 Process Flow: Gate Deposition High-k first on pristine channel. Cr Tall gate stack. Litho. Selective etches to channel. s e r NID InGaAs Channel InP etch stop InAlAs barrier InP substrate 20

27 Process Flow: Gate Deposition High-k first on pristine channel. Cr Tall gate stack. Litho. Selective etches to channel. Critical etch process: Stop on channel with no damage s e r NID InGaAs Channel InP etch stop InAlAs barrier InP substrate 20

28 Gate Stack: Multiple Layers & Selective Etches Key: stop etch before reaching dielectric, then gentle low-power etch to stop on dielectric Rodwell IPRM

29 Process Flow: Sidewalls & Recess Etch SiNx or sidewalls Encapsulate gate metals Controlled recess etch Slow facet planes Not needed for depletion-mode FETs, SiNx s e r Channel InP etch stop InAlAs barrier InP substrate 22

30 Regrowth Interface Resistances: Measured Data SRC Nonclassical CMOS Research Center When tested individually in separate experiments: In-situ Mo Contact ρ c =1 Ω - μm 2 25 nm regrown InGaAs R sh =70 Ω/sq InGaAs-InGaAs re-growth resistance < 1 Ω - μm 2. InGaAs-InP re-growth resistance = 6 Ω - μm 2 (on thick InP).

InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology

InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology pss-header will be provided by the publisher Review copy not for distribution 0 0 (pss-logo will be inserted here by the publisher) InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

In0.53Ga0.47As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth

In0.53Ga0.47As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth UNIVERSITY OF CALIFORNIA Santa Barbara In0.53Ga0.47As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth A Dissertation submitted in partial satisfaction of the requirements for the

More information

InGaAs MOSFETs for CMOS:

InGaAs MOSFETs for CMOS: InGaAs MOSFETs for CMOS: Recent Advances in Process Technology J. A. del Alamo, D. Antoniadis, A. Guo, D.-H. Kim 1, T.-W. Kim 2, J. Lin, W. Lu, A. Vardi and X. Zhao Microsystems Technology Laboratories,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

Indium Phosphide and Related Materials Selectively implanted subcollector DHBTs

Indium Phosphide and Related Materials Selectively implanted subcollector DHBTs Indium Phosphide and Related Materials - 2006 Selectively implanted subcollector DHBTs Navin Parthasarathy, Z. Griffith, C. Kadow, U. Singisetti, and M.J.W. Rodwell Dept. of Electrical and Computer Engineering,

More information

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process Jianqiang Lin, Xin Zhao, Tao Yu, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

General look back at MESFET processing. General principles of heterostructure use in FETs

General look back at MESFET processing. General principles of heterostructure use in FETs SMA5111 - Compound Semiconductors Lecture 11 - Heterojunction FETs - General HJFETs, HFETs Last items from Lec. 10 Depletion mode vs enhancement mode logic Complementary FET logic (none exists, or is likely

More information

III-V CMOS: the key to sub-10 nm electronics?

III-V CMOS: the key to sub-10 nm electronics? III-V CMOS: the key to sub-10 nm electronics? J. A. del Alamo Microsystems Technology Laboratories, MIT 2011 MRS Spring Meeting and Exhibition Symposium P: Interface Engineering for Post-CMOS Emerging

More information

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2 Contents Acknowledgements Curriculum Vitæ Abstract List of Figures List of Tables v vi viii xii xviii 1 Introduction 1 1.1 Si MOSFET Scaling......................... 2 2 General MOSFET Scaling Theory 7

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

Frequency Limits of InP-based Integrated Circuits

Frequency Limits of InP-based Integrated Circuits Plenary, Indium Phosphide and Related Materials Conference, May 15-18, Matsue, Japan Frequency Limits of InP-based Integrated Circuits Mark Rodwell, E. Lind, Z. Griffith, S. R. Bank, A. M. Crook U. Singisetti,

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Scaling of InGaAs MOSFETs into deep-submicron regime (invited)

Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Y.Q. Wu, J.J. Gu, and P.D. Ye * School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47906 * Tel: 765-494-7611,

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Ultra High-Speed InGaAs Nano-HEMTs

Ultra High-Speed InGaAs Nano-HEMTs Ultra High-Speed InGaAs Nano-HEMTs 2003. 10. 14 Kwang-Seok Seo School of Electrical Eng. and Computer Sci. Seoul National Univ., Korea Contents Introduction to InGaAsNano-HEMTs Nano Patterning Process

More information

Si and InP Integration in the HELIOS project

Si and InP Integration in the HELIOS project Si and InP Integration in the HELIOS project J.M. Fedeli CEA-LETI, Grenoble ( France) ECOC 2009 1 Basic information about HELIOS HELIOS photonics ELectronics functional Integration on CMOS www.helios-project.eu

More information

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) K. Moselund 1, D. Cutaia 1. M. Borg 1, H. Schmid 1, S. Sant 2, A. Schenk 2 and H. Riel 1 1 IBM Research

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Jianqiang Lin, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

InGaAs Nanoelectronics: from THz to CMOS

InGaAs Nanoelectronics: from THz to CMOS InGaAs Nanoelectronics: from THz to CMOS J. A. del Alamo Microsystems Technology Laboratories, MIT IEEE International Conference on Electron Devices and Solid-State Circuits Hong Kong, June 3, 2013 Acknowledgements:

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

sub-mm-wave ICs, University of California, Santa Barbara

sub-mm-wave ICs, University of California, Santa Barbara 20th Annual Workshop on Interconnections within High Speed Digital Systems, Santa Fe, New Mexico, 3 6 May 2009 THz Transistors, sub-mm-wave ICs, mm-wave Systems Mark Rodwell University of California, Santa

More information

High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications

High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications Zhongda Li, John Waldron, Shinya Takashima, Rohan Dayal, Leila Parsa, Mona Hella, and T. Paul Chow Department

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

InGaAs MOSFET Electronics

InGaAs MOSFET Electronics InGaAs MOSFET Electronics J. A. del Alamo Microsystems Technology Laboratories, MIT The 17 th International Symposium Physics of Semiconductors and Applications Jeju, Korea, December 7-11, 2014 Acknowledgements:

More information

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik InGaAs tri-gate MOSFETs with record on-current Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik Published in: 6 IEEE International Electron Devices Meeting, IEDM 6 DOI:.9/IEDM.6.7886

More information

Final Report. Contract Number Title of Research Principal Investigator

Final Report. Contract Number Title of Research Principal Investigator Final Report Contract Number Title of Research Principal Investigator Organization N00014-05-1-0135 AIGaN/GaN HEMTs on semi-insulating GaN substrates by MOCVD and MBE Dr Umesh Mishra University of California,

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies J. A. del Alamo Microsystems Technology Laboratories, MIT ESSDERC-ESSCIRC 2013 Bucharest, Romania, September 16-20, 2013 Acknowledgements:

More information

Nanoscale III-V CMOS

Nanoscale III-V CMOS Nanoscale III-V CMOS J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology SEMI Advanced Semiconductor Manufacturing Conference Saratoga Springs, NY; May 16-19, 2016

More information

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801 Comparative study of self-aligned and nonself-aligned SiGe p-metal oxide semiconductor modulation-doped field effect transistors with nanometer gate lengths Wu Lu Department of Electrical and Computer

More information

Digital Integrated Circuit Design I ECE 425/525 Chapter 3

Digital Integrated Circuit Design I ECE 425/525 Chapter 3 Digital Integrated Circuit Design I ECE 425/525 Chapter 3 Professor R. Daasch Depar tment of Electrical and Computer Engineering Portland State University Portland, OR 97207-0751 (daasch@ece.pdx.edu) http://ece.pdx.edu/~ecex25

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Chapter 3. Digital Integrated Circuit Design I. ECE 425/525 Chapter 3. Substrates in MOS doped n or p type Silicon (Chemical.

Chapter 3. Digital Integrated Circuit Design I. ECE 425/525 Chapter 3. Substrates in MOS doped n or p type Silicon (Chemical. Digital Integrated Circuit Design I ECE 425/525 Professor R. Daasch Depar tment of Electrical and Computer Engineering Portland State University Portland, OR 97207-0751 (daasch@ece.pdx.edu) http://ece.pdx.edu/~ecex25

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Innovative Technologies for RF & Power Applications

Innovative Technologies for RF & Power Applications Innovative Technologies for RF & Power Applications > Munich > Nov 14, 2017 1 Key Technologies Key Technologies Veeco Market Focus Advanced Packaging, MEMS & RF Lighting, Display & Power Electronics Lithography

More information

pss InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology solidi status physica

pss InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology solidi status physica Phys. Status Solidi C 6, No. 6, 1394 1398 (2009) / DOI 10.1002/pss.200881532 physia pss InGaAs hannel MOSFET with self-aligned soure/drain MBE regrowth tehnology urrent topis in solid state physis Uttam

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

PROCESS DEVELOPMENT FOR SMALL-AREA GaN/AlGaN HBT s

PROCESS DEVELOPMENT FOR SMALL-AREA GaN/AlGaN HBT s PROCESS DEVELOPMENT FOR SMALL-AREA GaN/AlGaN HBT s K.P.Lee (1), A.P.Zhang (1), G.Dang (1), F.Ren (1), J.Han (2), W.S.Hobson (3), J.Lopata (3), C.R.Abernathy (1), S.J.Pearton (1), J.W.Lee (4) (1) University

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

1020 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016

1020 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016 1020 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016 InGaAs Quantum-Well MOSFET Arrays for Nanometer-Scale Ohmic Contact Characterization J. Lin, Student Member, IEEE, D. A. Antoniadis,

More information

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Kjeld Pedersen Department of Physics and Nanotechnology, AAU SEMPEL Semiconductor Materials for Power Electronics

More information

THz Indium Phosphide Bipolar Transistor Technology

THz Indium Phosphide Bipolar Transistor Technology IEEE Compound Semiconductor IC Symposium, October 4-7, La Jolla, California THz Indium Phosphide Bipolar Transistor Technology Mark Rodwell University of California, Santa Barbara Coauthors: J. Rode, H.W.

More information

Towards a fully integrated optical gyroscope using whispering gallery modes resonators

Towards a fully integrated optical gyroscope using whispering gallery modes resonators Towards a fully integrated optical gyroscope using whispering gallery modes resonators T. Amrane 1, J.-B. Jager 2, T. Jager 1, V. Calvo 2, J.-M. Leger 1 1 CEA, LETI, Grenoble, France. 2 CEA, INAC-SP2M

More information

High-Ohmic Resistors using Nanometer-Thin Pure-Boron Chemical-Vapour-Deposited Layers

High-Ohmic Resistors using Nanometer-Thin Pure-Boron Chemical-Vapour-Deposited Layers High-Ohmic Resistors using Nanometer-Thin Pure-Boron Chemical-Vapour-Deposited Layers Negin Golshani, Vahid Mohammadi, Siva Ramesh, Lis K. Nanver Delft University of Technology The Netherlands ESSDERC

More information

State-of-The-Art Dielectric Etch Technology

State-of-The-Art Dielectric Etch Technology State-of-The-Art Dielectric Etch Technology Koichi Yatsuda Product Marketing Manager Etch System Business Unit November 5 th, 2010 TM Outline Dielectric Etch Challenges for State-of-The-Art Devices Control

More information

Propagation loss study of very compact GaAs/AlGaAs substrate removed waveguides

Propagation loss study of very compact GaAs/AlGaAs substrate removed waveguides Propagation loss study of very compact GaAs/AlGaAs substrate removed waveguides JaeHyuk Shin, Yu-Chia Chang and Nadir Dagli * Electrical and Computer Engineering Department, University of California at

More information

InP HBT technology development at IEMN

InP HBT technology development at IEMN InP HBT technology development at IEMN Advanced NanOmetric Devices Group, Institut d Electronique de Microelectronique et de Nanotechnology, Lille, FRANCE Date Outline Which applications for THz GaAsSb/InP

More information

Alternative Channel Materials for MOSFET Scaling Below 10nm

Alternative Channel Materials for MOSFET Scaling Below 10nm Alternative Channel Materials for MOSFET Scaling Below 10nm Doug Barlage Electrical Requirements of Channel Mark Johnson Challenges With Material Synthesis Introduction Outline Challenges with scaling

More information

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o. Layout of a Inverter Topic 3 CMOS Fabrication Process V DD Q p Peter Cheung Department of Electrical & Electronic Engineering Imperial College London v i v o Q n URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Galileo, Elephants, & Fast Nano-Devices

Galileo, Elephants, & Fast Nano-Devices Presentation to NNIN REU interns, July 29, 2008 Galileo, Elephants, & Fast Nano-Devices Mark Rodwell University of California, Santa Barbara rodwell@ece.ucsb.edu 805-893-3244, 805-893-5705 fax Scaling:

More information

Single suspended InGaAs nanowire MOSFETs

Single suspended InGaAs nanowire MOSFETs Single suspended InGaAs nanowire MOSFETs Zota, Cezar B.; Wernersson, Lars-Erik; Lind, Erik Published in: Technical Digest - International Electron Devices Meeting, IEDM DOI:.9/IEDM.5.7988 Published: 6--6

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

HOT ELECTRON INJECTION EFFECT AND IMPROVED LINEARITY IN TYPE-I/II DHBT FOR MILLIMETER-WAVE MIXED SIGNAL CIRCUIT APPLICATIONS KUANG-YU CHENG

HOT ELECTRON INJECTION EFFECT AND IMPROVED LINEARITY IN TYPE-I/II DHBT FOR MILLIMETER-WAVE MIXED SIGNAL CIRCUIT APPLICATIONS KUANG-YU CHENG HOT ELECTRON INJECTION EFFECT AND IMPROVED LINEARITY IN TYPE-I/II DHBT FOR MILLIMETER-WAVE MIXED SIGNAL CIRCUIT APPLICATIONS BY KUANG-YU CHENG DISSERTATION Submitted in partial fulfillment of the requirements

More information

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors Veerendra Dhyani 1, and Samaresh Das 1* 1 Centre for Applied Research in Electronics, Indian Institute of Technology Delhi, New Delhi-110016,

More information

Gallium nitride (GaN)

Gallium nitride (GaN) 80 Technology focus: GaN power electronics Vertical, CMOS and dual-gate approaches to gallium nitride power electronics US research company HRL Laboratories has published a number of papers concerning

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

E-MODE III-N HIGH-VOLTAGE TRANSISTOR DEVELOPMENT

E-MODE III-N HIGH-VOLTAGE TRANSISTOR DEVELOPMENT 1 E-MODE III-N HIGH-VOLTAGE TRANSISTOR DEVELOPMENT 1 st -Year Final Project Report (Feb 2010 March 2011) Presented to Intersil Corp., Milpitas CA Program Manager: Dr. François Hébert Georgia Tech PIs:

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI 1 Integrated diodes pn junctions of transistor structures can be used as integrated diodes. The choice of the junction is limited by the considerations of switching speed and breakdown voltage. The forward

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Fabrication, Corner, Layout, Matching, & etc.

Fabrication, Corner, Layout, Matching, & etc. Advanced Analog Building Blocks Fabrication, Corner, Layout, Matching, & etc. Wei SHEN (KIP) 1 Fabrication Steps for MOS Wei SHEN, Universität Heidelberg 2 Fabrication Steps for MOS Wei SHEN, Universität

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

International Workshop on Nitride Semiconductors (IWN 2016)

International Workshop on Nitride Semiconductors (IWN 2016) International Workshop on Nitride Semiconductors (IWN 2016) Sheng Jiang The University of Sheffield Introduction The 2016 International Workshop on Nitride Semiconductors (IWN 2016) conference is held

More information

Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers

Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers Receivers & Array Workshop 2010 September 20th, 2010 Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers Andreas R. Alt, Colombo R. Bolognesi Millimeter-Wave Electronics Group (MWE)

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

EE141-Fall 2009 Digital Integrated Circuits

EE141-Fall 2009 Digital Integrated Circuits EE141-Fall 2009 Digital Integrated Circuits Lecture 2 Integrated Circuit Basics: Manufacturing and Cost 1 1 Administrative Stuff Discussions start this Friday We have a third GSI Richie Przybyla, rjp@eecs

More information

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1 EE 330 Lecture 7 Design Rules IC Fabrication Technology Part 1 Review from Last Time Technology Files Provide Information About Process Process Flow (Fabrication Technology) Model Parameters Design Rules

More information

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. Issued: Tuesday, Sept. 13, 2011 PROBLEM SET #2 Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. 1. Below in Figure 1.1 is a description of a DRIE silicon etch using the Marvell

More information

III-Nitride microwave switches Grigory Simin

III-Nitride microwave switches Grigory Simin Microwave Microelectronics Laboratory Department of Electrical Engineering, USC Research Focus: - Wide Bandgap Microwave Power Devices and Integrated Circuits - Physics, Simulation, Design and Characterization

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links Monolithically integrated InGaAs nanowires on 3D structured silicon-on-insulator as a new platform for full optical links Hyunseok Kim 1, Alan C. Farrell 1, Pradeep Senanayake 1, Wook-Jae Lee 1,* & Diana.

More information

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate 22 Annual Report 2010 - Solid-State Electronics Department 4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate Student Scientist in collaboration with R. Richter

More information

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs J. A. del Alamo Microsystems Technology Laboratories, MIT Purdue University, West Lafayette, IN; September 29,

More information

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Robert. B. Bass, Jian. Z. Zhang and Aurthur. W. Lichtenberger Department of Electrical Engineering, University of

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process

Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process H.Stieglauer 1, J.Nösser 1, A.Miller 1, M.Lanz 1, D.Öttlin 1, G.Jonsson 1, D.Behammer 1, C.Landesberger 2,

More information

Device Research Conference 2007

Device Research Conference 2007 Devie Researh Conerene 2007 560 GHz t, max InGaAs/InP DHBT in a novel dry-ethed emitter proess Erik Lind, Adam M. Crook, Zah Griith, and Mark J.W. Rodwell Department o Eletrial and Computer Engineering

More information

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Chenming Hu and Je Min Park Univ. of California, Berkeley -1- Outline Introduction Background and Motivation MOSFETs with Vacuum-Spacer

More information

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University Resist Features on Poly Pattern Transfer Poly Features on Oxide CD-AFM The Critical Dimension AFM Boot -Shaped Tip Tip shape is optimized to sense topography on vertical surfaces Two-dimensional feedback

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s16/ecse

More information

Schottky Diode RF-Detector and Focused Ion Beam Post-Processing MURI Annual Review

Schottky Diode RF-Detector and Focused Ion Beam Post-Processing MURI Annual Review Schottky Diode RF-Detector and Focused Ion Beam Post-Processing MURI Annual Review Woochul Jeon, Todd Firestone, John Rodgers & John Melngailis University of Maryland. (consultations with Jake Baker Boise

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Vertical Surround-Gate Field-Effect Transistor

Vertical Surround-Gate Field-Effect Transistor Chapter 6 Vertical Surround-Gate Field-Effect Transistor The first step towards a technical realization of a nanowire logic element is the design and manufacturing of a nanowire transistor. In this respect,

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/science.1234855/dc1 Supplementary Materials for Taxel-Addressable Matrix of Vertical-Nanowire Piezotronic Transistors for Active/Adaptive Tactile Imaging Wenzhuo Wu,

More information

The Design and Realization of Basic nmos Digital Devices

The Design and Realization of Basic nmos Digital Devices Proceedings of The National Conference On Undergraduate Research (NCUR) 2004 Indiana University Purdue University Indianapolis, Indiana April 15-17, 2004 The Design and Realization of Basic nmos Digital

More information

FOUNDRY SERVICE. SEI's FEATURE. Wireless Devices FOUNDRY SERVICE. SRD-800DD, SRD-500DD D-FET Process Lg=0.8, 0.5µm. Ion Implanted MESFETs SRD-301ED

FOUNDRY SERVICE. SEI's FEATURE. Wireless Devices FOUNDRY SERVICE. SRD-800DD, SRD-500DD D-FET Process Lg=0.8, 0.5µm. Ion Implanted MESFETs SRD-301ED FOUNDRY SERVICE 01.04. Foundry services have been one of the core businesses at SEI, providing sophisticated GaAs IC technology for all customers. SEI offers very flexible service to support the customers

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information