InGaAs MOSFET Electronics

Size: px
Start display at page:

Download "InGaAs MOSFET Electronics"

Transcription

1 InGaAs MOSFET Electronics J. A. del Alamo Microsystems Technology Laboratories, MIT The 17 th International Symposium Physics of Semiconductors and Applications Jeju, Korea, December 7-11, 2014 Acknowledgements: D. Antoniadis, A. Guo, L. Guo, D.-H. Kim, T.-W. Kim, D. Jin, J. Lin, W. Lu, A. Vardi, N. Waldron, L. Xia Sponsors: Intel, FCRP-MSD, ARL, SRC, NSF, Sematech, Samsung Labs at MIT: MTL, NSL, SEBL 1

2 InGaAs electronics in your pocket! 2

3 A bit of perspective Invention of AlGaAs/GaAs HEMT: Fujitsu Labs First InAlAs/InGaAs HEMT on InP: Bell Labs First AlGaAs/InGaAs Pseudomorphic HEMT: U. Illinois 1985 Main attraction of InGaAs: RT μ e = 6,000~30,000 cm 2 /V.s Mimura, JJAPL 1980 Chen, EDL 1982 Ketterson, EDL

4 InGaAs High Electron Mobility Transistor (HEMT) Modulation doping: 2-Dimensional Electron Gas in narrow-bandgap channel 4

5 InGaAs HEMT: high-frequency record vs. time f T (GHz) Teledyne/MIT: f T =688 GHz InGaAs HEMT Year Devices fabricated at MIT f T =710 GHz Chang, APEX 2013 (NCTU) Kim, EDL 2010 Highest f T of any FET on any material system 5

6 InGaAs HEMTs: circuit demonstrations 9-stage 850 GHz LNA 80 Gb/s multiplexer IC Deal, MTT-S 2014 Wurfl, GAAS Gb/s wireless data at 113 GHz Sarkozy, IPRM 2013 Thome, MTT-S

7 InGaAs HEMTs map infant universe WMAP=Wilkinson Microwave Anisotropy Probe Launched 2001 Full-sky map of Cosmic Microwave Background radiation (oldest light in Universe) age of Universe: 13.73B years (±1%) µm InGaAs HEMT LNA Pospieszalski, MTT-S

8 Record f T InGaAs HEMTs: megatrends Classic scaling trajectory: L g, t ins Recently: L g, t ins saturated no more progress possible? 8

9 Limit to HEMT barrier scaling: gate leakage current InGaAs HEMTs practical limit L g =40 nm V DS =0.5 V Kim, EDL 2013 At L g =30-40 nm, modern HEMTs are at the limit of scaling! 9

10 Solution: introduce gate oxide! InGaAs HEMTs 10-5 x! L g =40 nm V DS =0.5 V Al 2 O 3 (3 nm)/inp (2 nm)/ingaas MOSFET Kim, EDL 2013 Need high-k gate dielectric: HEMT MOSFET! 10

11 InGaAs MOSFET with f T =370 GHz Channel: 10 nm In 0.7 Ga 0.3 As Barrier: 1 nm InP + 2 nm Al 2 O 3 Kim, APL 2012 L g = 60 nm f T = 370 GHz g m = 2 ms/μm 11

12 InGaAs HEMT vs. MOSFET Since when can we make III-V MOSFETs? 12

13 Historical evolution: InGaAs MOSFETs vs. HEMTs Transconductance (g m ): g m =3.1 ms/μm * *inversion-mode Lin, IEDM 2014 Recent progress due to improvement of oxide/iii-v interface 13

14 What made the difference? Atomic Layer Deposition (ALD) of oxide ALD eliminates native oxides that pin Fermi level Self cleaning Huang, APL 2005 Clean, smooth interface without native oxides First observed with Al 2 O 3, then with other high-k dielectrics First seen in GaAs, then in other III-Vs 14

15 Interface quality: Al 2 O 3 /InGaAs vs. Al 2 O 3 /Si Al 2 O 3 /InGaAs Al 2 O 3 /Si E v E c E v E c Brammertz, APL 2009 Werner, JAP 2011 Close to E c, Al 2 O 3 /InGaAs comparable D it to Al 2 O 3 /Si interface 15

16 Electron velocity: InGaAs vs. Si Measurements of electron injection velocity in HEMTs: del Alamo, Nature 2011 v inj (InGaAs) increases with InAs fraction in channel v inj (InGaAs) > 2v inj (Si) at less than half V DD ~100% ballistic transport at L g ~30 nm 16

17 Logic InGaAs MOSFET: possible designs Enhanced gate control enhanced scalability 17

18 Self-aligned Planar InGaAs MOSFETs W Mo Recess-gate process: CMOS-compatible Refractory ohmic contacts (W/Mo) Extensive use of RIE Lin, IEDM 2012, 2013,

19 Fabrication process Mo/W ohmic contact + SiO 2 hardmask SF 6, CF 4 anisotropic RIE Resist CF 4 :O 2 isotropic RIE SiO 2 W/Mo n + InGaAs/InP InGaAs/InAs InAlAs δ-si InP Waldron, IEDM 2007 Cl 2 :N 2 anisotropic RIE Digital etch O 2 plasma diluted H 2 SO 4 Gate stack and pads Pad Mo HfO 2 Lin, EDL 2014 Ohmic contact first, gate last Precise control of vertical (~1 nm), lateral (~5 nm) dimensions MOS interface exposed late in process 19

20 L g =20 nm InGaAs MOSFET SiO 2 W Mo n + cap Channel Buffer 20 nm Ti/Au pad Gate: Mo Spacer: Oxide Contact: Mo Mo/HfO 2 20 nm 15 nm 1.0 L g =20 nm V gs -V t = 0.5 V 0.8 R on =224 Ω.µm 0.4 V InAs V ds (V) I d (ma/µm) Lin, IEDM 2013 L g = 20 nm, L access = 15 nm MOSFET tightest III-V MOSFET ever made? 20

21 Highest performance InGaAs MOSFET L g =80 nm, EOT=0.5 nm (2.5 nm HfO 2 ), t c =9 nm, L access =15 nm I d (ma/µm) V gs = -0.3 to 0.4 V in 0.1 V step L g = 80 nm R on =190 Ω.µm V ds (V) g m (ms/µm) gm,max = 3.1 ms/µm L g = 80 nm V ds = 0.5 V V gs (V) Record g m,max = 3.1 ms/µm at V ds = 0.5 V R on = 190 Ω.µm Lin, IEDM

22 Subthreshold characteristics L g =80 nm, EOT=0.5 nm (2.5 nm HfO 2 ), t c =9 nm, L access =15 nm V ds =0.5 V I d (A/µm) V ds =0.05 V BTBT V ds =0.5 V: S min =159 mv/dec DIBL=310 mv/v V gs (V) Lin, IEDM 2014 Modest subthreshold swing, DIBL explore channel thickness scaling Excess OFF current at V ds =0.5 V Band-to-Band Tunneling (BTBT) 22

23 Impact of channel thickness scaling Lin, IEDM 2014 S min (mv/dec) t c =12 nm t c 3 nm V ds =0.5 V V ds =0.5 V L g (µm) g m,max (ms/µm) t c =9 nm 8 nm 11 nm 7 nm 12 nm 4 nm 3 nm V ds =0.5 V L g (µm) t c S but also g m,max Even at t c =3 nm, L g,min ~40 nm planar MOSFET at limit of scaling 23

24 Excess OFF-state current Transistor fails to turn off: I d (A/µm) 10-5 L g =500 nm V ds V ds =0.3~0.7 V step=50 mv V gs (V) OFF-state current enhanced with V ds Band-to-Band Tunneling (BTBT) or Gate-Induced Drain Leakage (GIDL) Lin, IEDM

25 Excess OFF-state current I d (A/µm) T=200 K V ds =0.7 V L g =80 nm 120 nm 280 nm 500 nm V gs -V t (V) Lin, EDL 2014 L g OFF-state current additional bipolar gain effect due to floating body I d (A/µm) I d (A/µm) 10-5 L g =500 nm w/ W/ BTBT+BJT w/o W/O BTBT+BJT L g =500 nm V ds V ds =0.3~0.7 V step=50 mv V gs (V) Simulations V ds =0.3~0.7 V step=50 mv V gs (V) 25

26 Planar Regrown-contact InGaAs MOSFET g m =2.5 ms/μm selective MOCVD Lee, EDL 2014 Regrown contact MOSFET: Avoids RIE in intrinsic region Contacts self-aligned to dummy gate 26

27 InGaAs Trigate MOSFET 60 nm Kim, IEDM 2013 L g =60 nm W F =30 nm g m =1.5 ms/µm, S=77 mv/dec, DIBL=10 mv/v L g (µm) S min (mv/dec) t c =12 nm t c V ds =0.5 V 3 nm V ds =0.5 V 27

28 InGaAs double-gate MOSFET 40 nm 30 nm Key enabling technologies: BCl 3 /SiCl 4 /Ar RIE digital etch Zhao, EDL 2014; Vardi, DRC

29 InGaAs double-gate MOSFET Long-channel MOSFET characteristics (W f =12~37 nm): I D [µa/µm] 20 Wf =12 nm L g =5 μm V GS =0.5 V V GS =0 V V DS [V] At sidewall: D it,min ~ 3x10 12 ev -1.cm -2 Vardi, DRC

30 Vertical nanowire InGaAs MOSFET 30 nm diameter InGaAs NW-MOSFET Zhao, IEDM 2013 Zhao, EDL 2014 Nanowire MOSFET: ultimate scalable transistor Vertical NW: uncouples footprint scaling from L g scaling Top-down approach based on RIE + digital etch 30

31 Tomioka, Nature 2012 Persson, DRC 2012 Process flow 31

32 Trade-off between transport and short-channel effects Persson, EDL 2012 Bottom up Top down Persson, DRC 2012 Tomioka, Nature 2012 Tanaka, APEX 2010 D S but also g m 32

33 Si integration: SOI-like InGaAs planar MOSFETs n + cap Mo InGaAs channel BOX p-si III-V bonded SOI process: Czornomaz, IEDM 2012 Lin, DRC 2014 BOX: Al 2 O 3 InP donor wafer InGaAs channel n + cap InP donor wafer InP donor wafer BOX p-si BOX p-si 1. MBE growth 2. ALD Al 2 O 3 3. Wafer bonding 4. InP etch back 33

34 Si integration: SOI-like InGaAs planar MOSFETs SiGe p-mosfet InGaAs n-mosfet Czornomaz, IEDM 2013 CMOS inverter transfer characteristics 34

35 Si integration: InGaAs Trigate MOSFETs by Aspect Ratio Trapping Fin growth in narrow trench Mg-doped InP buffer Si Waldron, VLSI Tech

36 Si integration: InGaAs Vertical Nanowire MOSFETs by direct growth Au seed InAs NWs on Si by SAE Vapor-Solid-Liquid (VLS) Technique Selective-Area Epitaxy Riel, MRS Bull 2014 Björk, JCG

37 Conclusion: exciting future for InGaAs electronics 37

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies J. A. del Alamo Microsystems Technology Laboratories, MIT ESSDERC-ESSCIRC 2013 Bucharest, Romania, September 16-20, 2013 Acknowledgements:

More information

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs J. A. del Alamo Microsystems Technology Laboratories, MIT Purdue University, West Lafayette, IN; September 29,

More information

InGaAs Nanoelectronics: from THz to CMOS

InGaAs Nanoelectronics: from THz to CMOS InGaAs Nanoelectronics: from THz to CMOS J. A. del Alamo Microsystems Technology Laboratories, MIT IEEE International Conference on Electron Devices and Solid-State Circuits Hong Kong, June 3, 2013 Acknowledgements:

More information

Nanoscale III-V CMOS

Nanoscale III-V CMOS Nanoscale III-V CMOS J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology SEMI Advanced Semiconductor Manufacturing Conference Saratoga Springs, NY; May 16-19, 2016

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

III-V Channel Transistors

III-V Channel Transistors III-V Channel Transistors Jesús A. del Alamo Professor Microsystems Technology Laboratories MIT Acknowledgements: Students and collaborators: D. Antoniadis, J. Lin, W. Lu, A. Vardi, X. Zhao Sponsors: Applied

More information

InGaAs MOSFETs for CMOS:

InGaAs MOSFETs for CMOS: InGaAs MOSFETs for CMOS: Recent Advances in Process Technology J. A. del Alamo, D. Antoniadis, A. Guo, D.-H. Kim 1, T.-W. Kim 2, J. Lin, W. Lu, A. Vardi and X. Zhao Microsystems Technology Laboratories,

More information

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process Jianqiang Lin, Xin Zhao, Tao Yu, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach Xin Zhao, Jianqiang Lin, Christopher Heidelberger, Eugene A. Fitzgerald and Jesús A. del Alamo Microsystems Technology Laboratories, MIT

More information

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs J. A. del Alamo, X. Zhao, W. Lu, and A. Vardi Microsystems Technology Laboratories Massachusetts Institute of Technology 5 th Berkeley

More information

III-V CMOS: the key to sub-10 nm electronics?

III-V CMOS: the key to sub-10 nm electronics? III-V CMOS: the key to sub-10 nm electronics? J. A. del Alamo Microsystems Technology Laboratories, MIT 2011 MRS Spring Meeting and Exhibition Symposium P: Interface Engineering for Post-CMOS Emerging

More information

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Jianqiang Lin, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices Jesús A. del Alamo, Xin Zhao, Wenjie Lu, Alon Vardi Microsystems Technology Laboratories, MIT E 3 S Retreat September

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

InAs Quantum-Well MOSFET for logic and microwave applications

InAs Quantum-Well MOSFET for logic and microwave applications AWAD June 29 th 2012 Accelerating the next technology revolution InAs Quantum-Well MOSFET for logic and microwave applications T.-W. Kim, R. Hill, C. D. Young, D. Veksler, L. Morassi, S. Oktybrshky 1,

More information

Nanometer-scale InGaAs Field-Effect Transistors for THz and CMOS technologies

Nanometer-scale InGaAs Field-Effect Transistors for THz and CMOS technologies Nanometer-scale InGaAs Field-Effect Transistors for THz and CMOS technologies The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation

More information

Scaling of InGaAs MOSFETs into deep-submicron regime (invited)

Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Y.Q. Wu, J.J. Gu, and P.D. Ye * School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47906 * Tel: 765-494-7611,

More information

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) K. Moselund 1, D. Cutaia 1. M. Borg 1, H. Schmid 1, S. Sant 2, A. Schenk 2 and H. Riel 1 1 IBM Research

More information

Single suspended InGaAs nanowire MOSFETs

Single suspended InGaAs nanowire MOSFETs Single suspended InGaAs nanowire MOSFETs Zota, Cezar B.; Wernersson, Lars-Erik; Lind, Erik Published in: Technical Digest - International Electron Devices Meeting, IEDM DOI:.9/IEDM.5.7988 Published: 6--6

More information

InGaAs is a promising channel material candidate for

InGaAs is a promising channel material candidate for 468 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 30, NO. 4, NOVEMBER 2017 A Si-Compatible Fabrication Process for Scaled Self-Aligned InGaAs FinFETs A. Vardi, Member, IEEE, J.Lin,Member, IEEE,

More information

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik InGaAs tri-gate MOSFETs with record on-current Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik Published in: 6 IEEE International Electron Devices Meeting, IEDM 6 DOI:.9/IEDM.6.7886

More information

In principle, the high mobilities of InGaAs and

In principle, the high mobilities of InGaAs and 114Conference report: IEDM part 2 Meeting the challenge of integrating III-Vs with deep submicron silicon High-mobility devices based on indium gallium arsenide (InGaAs) channels could benefit the performance

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0. Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.3 V Memisevic, E.; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik;

More information

Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si

Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si Berg, Martin; Kilpi, Olli-Pekka; Persson, Karl-Magnus; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik; Wernersson,

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si

Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si Berg, Martin; Persson, Karl-Magnus; Kilpi, Olli-Pekka; Svensson, Johannes; Lind, Erik; Wernersson, Lars-Erik Published in: Technical

More information

Ultra High-Speed InGaAs Nano-HEMTs

Ultra High-Speed InGaAs Nano-HEMTs Ultra High-Speed InGaAs Nano-HEMTs 2003. 10. 14 Kwang-Seok Seo School of Electrical Eng. and Computer Sci. Seoul National Univ., Korea Contents Introduction to InGaAsNano-HEMTs Nano Patterning Process

More information

CMOS beyond Si: Nanometer-Scale III-V MOSFETs

CMOS beyond Si: Nanometer-Scale III-V MOSFETs CMOS beyond Si: Nanometer-Scale III-V MOSFETs Jesús A. del Alamo, Xiaowei Cai, Jianqiang Lin, Wenjie Lu, Alon Vardi, Xin Zhao Microsystems Technology Laboratories, Massachusetts Institute of Technology,

More information

InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology

InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology pss-header will be provided by the publisher Review copy not for distribution 0 0 (pss-logo will be inserted here by the publisher) InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

MOS Capacitance and Introduction to MOSFETs

MOS Capacitance and Introduction to MOSFETs ECE-305: Fall 2016 MOS Capacitance and Introduction to MOSFETs Professor Peter Bermel Electrical and Computer Engineering Purdue University, West Lafayette, IN USA pbermel@purdue.edu 11/4/2016 Pierret,

More information

General look back at MESFET processing. General principles of heterostructure use in FETs

General look back at MESFET processing. General principles of heterostructure use in FETs SMA5111 - Compound Semiconductors Lecture 11 - Heterojunction FETs - General HJFETs, HFETs Last items from Lec. 10 Depletion mode vs enhancement mode logic Complementary FET logic (none exists, or is likely

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

1020 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016

1020 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016 1020 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016 InGaAs Quantum-Well MOSFET Arrays for Nanometer-Scale Ohmic Contact Characterization J. Lin, Student Member, IEEE, D. A. Antoniadis,

More information

A GaAs/AlGaAs/InGaAs PSEUDOMORPHIC HEMT STRUCTURE FOR HIGH SPEED DIGITAL CIRCUITS

A GaAs/AlGaAs/InGaAs PSEUDOMORPHIC HEMT STRUCTURE FOR HIGH SPEED DIGITAL CIRCUITS IJRET: International Journal of Research in Engineering and Technology eissn: 239-63 pissn: 232-738 A GaAs/AlGaAs/InGaAs PSEUDOMORPHIC HEMT STRUCTURE FOR HIGH SPEED DIGITAL CIRCUITS Parita Mehta, Lochan

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Acknowledgments: This work was supported by Air Force HiREV program and the DTRA Basic Research Program.

Acknowledgments: This work was supported by Air Force HiREV program and the DTRA Basic Research Program. Gate Bias and Geometry Dependence of Total-Ionizing-Dose Effects in InGaAs Quantum-Well MOSFETs K. Ni 1, E. X. Zhang 1, R. D. Schrimpf 1, D. M. Fleetwood 1, R. A. Reed 1, M. L. Alles 1, J. Lin 2, and J.

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

Transistors for VLSI, for Wireless: A View Forwards Through Fog

Transistors for VLSI, for Wireless: A View Forwards Through Fog Plenary, Device Research Conference, June 22, 2015, Ohio State Transistors for VLSI, for Wireless: A View Forwards Through Fog Mark Rodwell, UCSB Low-voltage devices P. Long, E. Wilson, S. Mehrotra, M.

More information

CMOS Scaling Beyond FinFETs: Nanowires and TFETs

CMOS Scaling Beyond FinFETs: Nanowires and TFETs SEMATECH Symposium June 23, 2011 Tokyo Accelerating the next technology revolution CMOS Scaling Beyond FinFETs: Nanowires and TFETs Chris Hobbs, Wei-Yip Loh, Kerem Akarvardar, Paul Kirsch, and Raj Jammy

More information

Device architectures for the 5nm technology node and beyond Nadine Collaert

Device architectures for the 5nm technology node and beyond Nadine Collaert Device architectures for the 5nm technology node and beyond Nadine Collaert Distinguished member of technical staff, imec Outline Introduction Beyond FinFET: lateral nanowires and vertical transistors

More information

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) 3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) Pei W. Ding, Kristel Fobelets Department of Electrical Engineering, Imperial College London, U.K. J. E. Velazquez-Perez

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

Nanometer-Scale III-V MOSFETs

Nanometer-Scale III-V MOSFETs Received 31 January 2016; revised 3 May 2016; accepted 11 May 2016. Date of publication 7 July, 2016; date of current version 23 August 2016. The review of this paper was arranged by Editor P. R. Berger.

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

SEVERAL III-V materials, due to their high electron

SEVERAL III-V materials, due to their high electron IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 64, NO. 1, JANUARY 2017 239 Gate Bias and Geometry Dependence of Total-Ionizing-Dose Effects in InGaAs Quantum-Well MOSFETs Kai Ni, Student Member, IEEE, En Xia

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Performance Analysis of InGaAs Double Gate MOSFET

Performance Analysis of InGaAs Double Gate MOSFET Performance Analysis of InGaAs Double Gate MOSFET Ms. Karthika Rani P, Ms. Kavitha T Abstract-Technological improvements have been made due to the scaling of device dimensions in order to attain continuous

More information

Power, speed and other highlights at IEDM

Power, speed and other highlights at IEDM 98 Conference report: IEDM Power, speed and other highlights at IEDM Mike Cooke rounds up developments reported at December s 2010 IEEE International Electron Devices Meeting (IEDM) in San Francisco. The

More information

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2 Contents Acknowledgements Curriculum Vitæ Abstract List of Figures List of Tables v vi viii xii xviii 1 Introduction 1 1.1 Si MOSFET Scaling......................... 2 2 General MOSFET Scaling Theory 7

More information

Alternative Channel Materials for MOSFET Scaling Below 10nm

Alternative Channel Materials for MOSFET Scaling Below 10nm Alternative Channel Materials for MOSFET Scaling Below 10nm Doug Barlage Electrical Requirements of Channel Mark Johnson Challenges With Material Synthesis Introduction Outline Challenges with scaling

More information

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801 Comparative study of self-aligned and nonself-aligned SiGe p-metal oxide semiconductor modulation-doped field effect transistors with nanometer gate lengths Wu Lu Department of Electrical and Computer

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Fundamentals of III-V Semiconductor MOSFETs

Fundamentals of III-V Semiconductor MOSFETs Serge Oktyabrsky Peide D. Ye Editors Fundamentals of III-V Semiconductor MOSFETs Springer Contents 1 Non-Silicon MOSFET Technology: A Long Time Coming 1 Jerry M. Woodall 1.1 Introduction 1 1.2 Brief and

More information

Chapter 1. Introduction

Chapter 1. Introduction Chapter 1 Introduction 1.1 Introduction of Device Technology Digital wireless communication system has become more and more popular in recent years due to its capability for both voice and data communication.

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Prof. Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley,

More information

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP) Science in China Series E: Technological Sciences 2009 SCIENCE IN CHINA PRESS www.scichina.com tech.scichina.com Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets

More information

GaN power electronics

GaN power electronics GaN power electronics The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published Publisher Lu, Bin, Daniel Piedra, and

More information

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Journal of Electron Devices, Vol. 18, 2013, pp. 1537-1542 JED [ISSN: 1682-3427 ] DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Suman Lata Tripathi and R. A.

More information

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 5 November 2015 ISSN (online): 2349-784X Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Normally-Off Operation of AlGaN/GaN Heterojunction Field-Effect Transistor with Clamping Diode

Normally-Off Operation of AlGaN/GaN Heterojunction Field-Effect Transistor with Clamping Diode JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.2, APRIL, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.2.221 ISSN(Online) 2233-4866 Normally-Off Operation of AlGaN/GaN

More information

Investigations on Compound Semiconductor High Electron Mobility Transistor (HEMT)

Investigations on Compound Semiconductor High Electron Mobility Transistor (HEMT) Investigations on Compound Semiconductor High Electron Mobility Transistor (HEMT) Nov. 26, 2004 Outline I. Introduction: Why needs high-frequency devices? Why uses compound semiconductors? How to enable

More information

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs MBE 2008, Vancouver, B.C. Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs Mark Wistey, Greg Burek, Uttam Singisetti, Austin Nelson, Brian Thibeault, Joël Cagnon, Susanne Stemmer, Arthur

More information

CONTENTS. 2.2 Schrodinger's Wave Equation 31. PART I Semiconductor Material Properties. 2.3 Applications of Schrodinger's Wave Equation 34

CONTENTS. 2.2 Schrodinger's Wave Equation 31. PART I Semiconductor Material Properties. 2.3 Applications of Schrodinger's Wave Equation 34 CONTENTS Preface x Prologue Semiconductors and the Integrated Circuit xvii PART I Semiconductor Material Properties CHAPTER 1 The Crystal Structure of Solids 1 1.0 Preview 1 1.1 Semiconductor Materials

More information

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor

NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor Jie Xiang Electrical and Computer Engineering and Materials Science Engineering University of California, San Diego

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor DRAM & Flexible RRAM This Week s Subject p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor CMOS Logic Inverter NAND gate NOR gate CMOS Integration & Layout GaAs MESFET (JFET) 1 Flexible

More information

In0.53Ga0.47As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth

In0.53Ga0.47As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth UNIVERSITY OF CALIFORNIA Santa Barbara In0.53Ga0.47As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth A Dissertation submitted in partial satisfaction of the requirements for the

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Transistors for THz Systems

Transistors for THz Systems IMS Workshop: Technologies for THZ Integrated Systems (WMD) Monday, June 3, 013, Seattle, Washington (8AM-5PM) Transistors for THz Systems Mark Rodwell, UCSB rodwell@ece.ucsb.edu Co-Authors and Collaborators:

More information

Challenges and Innovations in Nano CMOS Transistor Scaling

Challenges and Innovations in Nano CMOS Transistor Scaling Challenges and Innovations in Nano CMOS Transistor Scaling Tahir Ghani Intel Fellow Logic Technology Development October, 2009 Nikkei Presentation 1 Outline Traditional Scaling Traditional Scaling Limiters,

More information

Small Signal Modelling of InGaAs/InAlAs phemt for low noise applications

Small Signal Modelling of InGaAs/InAlAs phemt for low noise applications Small Signal Modelling of InGaAs/InAlAs phemt for low noise applications N. Ahmad and M. Mohamad Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Pauh Putra Campus, 26 Arau, Perlis,

More information

Design of Tunnel FET and its Performance characteristics with various materials

Design of Tunnel FET and its Performance characteristics with various materials Design of Tunnel FET and its Performance characteristics with various materials 1 G.SANKARAIAH, 2 CH.SATHYANARAYANA 1 PG Student Sreenidhi Institute of Science and Technology, 2 Assistant Professor 1,

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

A 77 GHz mhemt MMIC Chip Set for Automotive Radar Systems

A 77 GHz mhemt MMIC Chip Set for Automotive Radar Systems A 77 GHz mhemt MMIC Chip Set for Automotive Radar Systems Dong Min Kang, Ju Yeon Hong, Jae Yeob Shim, Jin-Hee Lee, Hyung-Sup Yoon, and Kyung Ho Lee A monolithic microwave integrated circuit (MMIC) chip

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

Fully Depleted Devices

Fully Depleted Devices 4 Fully Depleted Devices FDSOI and FinFET Bruce Doris, Ali Khakifirooz, Kangguo Cheng, and Terence Hook CONTENTS 4.1 Overview... 71 4.2 Introduction: Challenges of Conventional CMOS Technology...72 4.3

More information

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER What I will show you today 200mm/8-inch GaN-on-Si e-mode/normally-off technology

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

Customized probe card for on-wafer testing of AlGaN/GaN power transistors

Customized probe card for on-wafer testing of AlGaN/GaN power transistors Customized probe card for on-wafer testing of AlGaN/GaN power transistors R. Venegas 1, K. Armendariz 2, N. Ronchi 1 1 imec, 2 Celadon Systems Inc. Outline Introduction GaN for power switching applications

More information

32nm Technology and Beyond

32nm Technology and Beyond 32nm Technology and Beyond Paolo Gargini Chairman ITRS IEEE Fellow Director of Technology Strategy Intel Fellow ISS Europe 2009 P. Gargini 1 Agenda Equivalent Scaling 45nm Technology summary 32nm Technology

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si

Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si Memisevic, Elvedin; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik; Wernersson, Lars-Erik Published in: IEEE Electron

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

Nanoelectronics and the Future of Microelectronics

Nanoelectronics and the Future of Microelectronics Nanoelectronics and the Future of Microelectronics Mark Lundstrom Electrical and Computer Engineering University, West Lafayette, IN August 22, 2002 1. Introduction 2. Challenges in Silicon Technology

More information

Complementary Tunneling-FETs (CTFET) in CMOS Technology

Complementary Tunneling-FETs (CTFET) in CMOS Technology Technische Universität München Lehrstuhl für Technische Elektronik Fachgebiet Halbleiterproduktionstechnik Complementary Tunneling-FETs (CTFET) in CMOS Technology Peng-Fei Wang Vollständiger Abdruck der

More information

Opportunities and Challenges for Nanoelectronic Devices and Processes

Opportunities and Challenges for Nanoelectronic Devices and Processes The Sixth U.S.-Korea Forum on Nanotechnology, April 28-29, 2009, Las Vegas, NV Opportunities and Challenges for Nanoelectronic Devices and Processes Yoshio Nishi Professor, Electrical Engineering, Material

More information

Organic Electronics. Information: Information: 0331a/ 0442/

Organic Electronics. Information: Information:  0331a/ 0442/ Organic Electronics (Course Number 300442 ) Spring 2006 Organic Field Effect Transistors Instructor: Dr. Dietmar Knipp Information: Information: http://www.faculty.iubremen.de/course/c30 http://www.faculty.iubremen.de/course/c30

More information

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Vertical Nanowire Gate-All-Around p-type Tunneling Field-Effect Transistor With Si 0.8 Ge 0.2 /Si Heterojunction

Vertical Nanowire Gate-All-Around p-type Tunneling Field-Effect Transistor With Si 0.8 Ge 0.2 /Si Heterojunction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Vertical Nanowire Gate-All-Around p-type Tunneling Field-Effect Transistor

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Metal-Semiconductor and Semiconductor Heterojunctions The Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) is one of two major types of transistors. The MOSFET is used in digital circuit, because

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology K. N. Toosi University of Technology Chapter 7. Field-Effect Transistors By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology http://wp.kntu.ac.ir/faradji/digitalelectronics.htm

More information