Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik

Size: px
Start display at page:

Download "Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik"

Transcription

1 InGaAs tri-gate MOSFETs with record on-current Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik Published in: 6 IEEE International Electron Devices Meeting, IEDM 6 DOI:.9/IEDM Document Version: Peer reviewed version (aka post-print) Link to publication Citation for published version (APA): Zota, C. B., Lindelow, F., Wernersson, L. E., & Lind, E. (7). InGaAs tri-gate MOSFETs with record oncurrent. In 6 IEEE International Electron Devices Meeting, IEDM 6 (pp...-..). [7886] Institute of Electrical and Electronics Engineers Inc.. General rights Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights. Users may download and print one copy of any publication from the public portal for the purpose of private study or research. You may not further distribute the material or use it for any profit-making activity or commercial gain You may freely distribute the URL identifying the publication in the public portal Take down policy If you believe that this document breaches copyright please contact us providing details, and we will remove access to the work immediately and investigate your claim. L UNDUNI VERS I TY PO Box7 L und +66

2 InGaAs Tri-gate MOSFETs with Record On-Current Cezar B. Zota, Fredrik Lindelow, Lars-Erik Wernersson and Erik Lind Department of Electrical and Information Technology, Lund University, Lund, Sweden Phone: Abstract We demonstrate InGaAs tri-gate MOSFETs with an on-current of = 65 µa/µm at V DD =.5 V and I OFF = na/µm, enabled by an inverse subthreshold slope of SS = 66 mv/decade and transconductance of = ms/µm, a Q- factor of 5. This is the highest reported for both Si-based and III-V MOSFETs. These results continue to push III-V MOSFET experimental performance towards its theoretical limit. We find an improvement in SS from 8 to 75 mv/dec. as the effective oxide thickness (EOT) is scaled down from. to nm, as well as improvements in SS, g d and DIBL from reducing the nanowire width. We also find that electron mobility remains constant as the width is scaled to 8 nm. I. INTRODUCTION An important path for reducing the power density in CMOS technology has been to lower the supply voltage V DD. To maintain sufficient drive current, innovations are required, such as strained channels, novel channel materials and D device architectures []-[]. For this purpose, high indium In x Ga -x As is an attractive channel material due to its excellent electron transport properties, i.e. high electron mobility µ e and lonean free path λ [6]. While the relatively low DOS of indium-rich In x Ga -x As is predicted to limit in highly scaled devices, compared to competing technologies such as Si and Ge, this may be offset by the gain from the long λ and high µ e of In x Ga -x As [5]. Since this technology likely will be implemented in a D channel architecture, such as FinFETs or NWFETs, a further question concerns the dependence of λ on the channel dimensions, i.e. the influence of surface roughness on device performance. In this work, we demonstrate tri-gate MOSFETs utilizing an In.85 Ga.5 As nanowire (NW) as the channel. By gate oxide scaling, improvements of the surface passivation process and optimization of device dimensions, we achieve a drive current of = 65 µa/µm at V DD =.5 V and I OFF = na/µm. This is a record value for both III-V and Si MOSFETs. We also show that, as the NW width,, is scaled down, electrostatic properties significantly improve, while and λ do not degrade. These results continue to push the limits, as well as explore the potential, of III-V FETs. II. DEVICE FABRICATION The process flow and schematic images of the device are shown in Fig. (a)-(f). The nanowires are formed by selective area growth, using hydrogen silsesquioxane (HSQ) as the MOCVD growth mask, as described elsewhere []. Each device consists of a single NW. The composition of the NW is In.85 Ga.5 As, as determined by optical characterization [5]. Fig. (g) shows an SEM image of an NW with = 9 nm, with the sidewall facets denoted [5]. The inset of Fig. (h) shows a schematic figure of the NW crosssection. nm highly doped In.6 Ga.7 As (N D = 5 9 cm - ) is subsequently grown by MOCVD as the contact layer, utilizing HSQ as a dummy gate [Fig. (h)]. After mesa isolation, the InP in the channel is etched by HCl (%) in order to form a ~ nm tall plateau, with the purpose of improving the gate coverage along the bottom of the sides of the NW. cycles of surface oxidation by ozone and diluted HCl etching (digital etching) are performed to reduce the dimensions of the NW. The final height of the NW is H NW = 8 nm, as determined from AFM. Subsequently, Ti/Pd/Au contact metal is evaporated and patterned by lift-off. Surface passivation, by ozone cleaning and (NH) S (%) for min, is followed by deposition of Al O /HfO gate oxide (5/5 cycles and EOT nm, unless otherwise stated). A hour post-deposition anneal step at C in N atmosphere is performed in-situ. Thermal evaporation and patterning by lift-off of //5 nm Ni/Pd/Au as the gate metal complete the process [Fig. (i)]. III. RESULTS Fig. shows transfer characteristics of a tri-gate MOSFET with and = 5 nm. All normalization is done to the total gated NW periphery, i.e. the three sides of the tri-gate. Peak transconductance is. ms/µm at V DS =.5 V. Subthreshold characteristics of the same device are shown in Fig. At V DD =.5 V and I OFF = na/µm, = 65 µa/µm. The gate current is I G < na/µm. Minimum inverse subthreshold slope SS reaches 66 mv/decade (Fig. ) at, and 6 mv/decade at V DS =.5 V. The drain-induced barrier-lowering (DIBL) is 65 mv/v, measured at = µa/µm. The on-resistance of this device is R ON = 75 Ω µm at = V. Output characteristics for = 9 and = 5 nm devices with are shown in Fig. 5 and 6, respectively. The output conductance of these devices is g d =.5 and.5 ms/µm (voltage gain is 5.5 and ) at V T =. Minimum SS versus is shown for = 5 nm and = 9 nm devices at V DS =.5 and.5 V (Fig. 7). The reduced offers improved resilience against short channel effects (SCEs), but at = 5 nm, SS is degraded ( mv/decade) even at = 5 nm. Minimum SS versus is shown in Fig. 8 for devices at. Average minimum SS improves from approximately 95 mv/dec. for > 9 nm to SS < 7 mv/dec. for < nm due to enhanced electrostatic control. The lowest SS of a device at this bias is 6 mv/dec. The theoretical values

3 indicate SS obtained from a solution of Laplace s equation modeling the full D structure of the nanowire using COMSOL. To improve performance at short, must be further reduced. Scaling of H NW will improve SS but reduce the aspect ratio (AR), which is undesirable. Moreover, the implementation of a wider band gap back-barrier, such as InAlAs or a BOX layer, is also expected to improve resilience to SCEs. Fig. 9 shows median (crosses) and mean (squares) minimum SS for four samples with and = 5- nm at both V DS =.5 and.5 V (~ devices each). Sample D has 5/5 cycles Al O /HfO. Sample C has 5/5 cycles Al O /HfO. Sample B and A have 5/5 cycles Al O /HfO. In addition, samples D, C and B where passivated with (NH ) S (%) produced by Merck, while sample A was passivated with (NH ) S (%) produced by Sigma-Aldrich. Fig. shows mean minimum SS of samples D to B versus EOT ( cycle =. Å, κ = 8 and 9 for HfO and Al O ). These results indicate an improvement both from oxide scaling (average SS improves from 8 to 75 mv/dec. for EOT from ~. nm to ~ nm), and from optimization of the surface passivation parameters (mean SS improves from 75 to 7 mv/dec. for sample B to A). The trend indicates that SS may be further improved by scaling of the EOT. We do not observe a clear trend of versus EOT. Fig. shows g d versus at and V T =.5 V for devices. Average g d is reduced from.5 ms/µm at = 9 nm to ~. ms/µm at = 5 nm. The DIBL measured at µa/µm is shown in Fig.. It is similarly reduced from 7 mv/v at = 9 nm, to 8 mv/v at = 5 nm. The threshold voltage (V T ) defined at = µa/µm increases in narrow NWs (Fig. ). The trend approximately follows calculated values from an effective mass quantum wire model, indicating that the V T increase is due to quantum confinement. Fig. shows versus. The highest observed in these devices is ~. ms/µm (SS sat = 9 mv/dec.) at V DS =.5 V and = 5 nm. increases as is scaled down to approximately 5 nm from planar architecture ( = µm). This may be explained by that narrow NWs are more Indiumrich, due to interactions with the HSQ mask during MOCVD growth, which may improve mobility as well as change the D it distribution [5]. This shows that the improvement of g d with, is in fact due to improved electrostatics. The inset of Fig. 5 shows average values of versus for = 5 nm. Dashed traces show an analytical quasi-ballistic model with λ = nm fitted to the measured data. at V DD =.5 V and I OFF = na/µm is shown in Fig. 5 versus both and (inset). increases from to 65 µa/µm as goes from um (planar) to 5 nm, due to the simultaneous improvements of SS ( to 66 mv/dec.) and (. to ms/µm). peaks at, which is explained by the degraded SS (Fig. 7) and that only improves slightly (Fig. ) for shorter. These devices exhibit quantized conductance at K due to subband splitting in a D channel (inset of Fig. 6). From the conductance steps, the transmission is obtained. The device in Fig. shows a transmission of T =.67, which indicates quasi-ballistic transport. Fig. 6 shows electron mobility µ e and λ for NWs with = 8 nm calculated from quantized conductance. To obtain µ e, we use the Einstein relation and a correction factor of.6 to account for degeneracy [5]. We note that this method is not strongly influenced by D it. No dependency versus is observed, which correlates with versus with < 5 nm, explained by small surface scattering. Since is temperature-independent, the same is true for µ e. A benchmark of the (at V DD =.5 V and I OFF = na/µm) for state-of-the-art III-V planar and non-planar MOSFETs is shown in Fig. 7. The value of 65 µa/µm presented in this work is the record value of both categories. The same is true for the quality factor Q = /SS, which is 5 in this work (Fig. 8). Fig. 9 compares at V DD =.5 V and I OFF = na/µm for various technologies.,surface is normalized to the gated channel periphery, while,chip is normalized to the chip surface width including the specified pitch size.,chip in our devices is lower than that of nm FinFET (57 compared to 65 µa/µm for a pitch of nm), which demonstrates the importance of high AR in D channels, but we observe a two-fold increase in,surface over nm FinFET technology, which is due primarily to the high µ e of In x Ga -x As [6]. IV. CONCLUSION We have demonstrated In x Ga -x As tri-gate MOSFETs with a record on-current of 65 µa/µm at V DD =.5 V and = na/µm, SS = 66 mv/decade and =. ms/µm. From data versus NW width, we observed improvements in SS, DIBL and g d for scaled down NWs. Furthermore, we observed improvements both from oxide scaling the surface passivation process. From low-temperature measurements we obtain µ e and λ, which remain high, 75 cm /Vs and 5 nm, respectively, even in scaled NWs. This work was supported in part by the Swedish Research Council, in part by the Knut and Alice Wallenberg Foundation, in part by the Swedish Foundation for Strategic Research and in part by the European Union H program INSIGHT (Grant Agreement No ). V. REFERENCES [] S. Lee et al., EDL, p. 6 (). [] C. B. Zota et al., VLSI, (6). [] T.-W. Kim et al., EDL, vol. 6, p. (5). [] C. B. Zota et al., IEDM, p. 8 (5). [5] J. Lin et al., EDL, vol 7, p. 8 (6). [6] Radosavljevic et al., IEDM, p. 765 (). [7] T.-W. Kim et al., IEDM, p. 5 (). [8] N. Waldron et al., IEDM, p. 8 (5). [9] P. Chang et al., IEDM, p. 8 (). [] J. Lin et al., IEDM, p. (). [] J. J. Gu et al., IEDM, p. 6 (). [] S. Lee et al., VLSI (). [] Radosavljevic et al., IEDM, p. 9 (9). [] C. Huang et al., IEDM, p. 589 (). [5] C. B. Zota et al., ACS Nano, vol. 9, p. 989 (5). [6] S. Natarajan et al., IEDM, p. 7 ().

4 (a) (b) NW MOCVD growth HSQ InGaAs Nano wire InP:Fe Su bstrate InGaAs n + MOCVD growth n + In.6 Ga.7 As HSQ InGaAs Nano wire InP:Fe Su bstrate (d) S/D contact metallization Ti/Pd/Au n + In.6 Ga.7 As (e) Gate oxide ALD Ti/Pd/Au n + In.6 Ga.7 As Al O /HfO (g) Substrate (i) In.85Ga.5 As nm Gate: Ni/Pd/Au (h) In.85 Ga.5 As Gate Ni/Pd/Au nm InP substrate n + In.6 Ga.7 As [] HfO /Al O InP substrate(s.i.) (c) Digital etching and InP plateau formation (f) Gate metallization S/D: Ti/Pd/Au n + In.6 Ga.7 As Ni/Pd/Au Ti/Pd/Au n + In.6 Ga.7 As Al O /HfO n + In.6 Ga.7 As 5 nm InP substrate Fig. : Schematic figures SEM images of the device fabrication process. (a) NW formation utilizes selective area MOCVD growth with an EBL-defined HSQ hard mask. (b) Contacts are defined using an HSQ dummy gate and MOCVD regrowth of n + In.6Ga.7As. (c) NW is scaled down using digital etching. (d) S/D metal is deposited by evaporation and lift-off. (e) A bilayer of AlO/HfO is used as the gate oxide. (f) Ni/Pd/Au is evaporated as the gate metal. (g) SEM image of a 9 nm wide NW with the side facets denoted. (h) The device after contact regrowth. Inset shows a schematic cross-section of the NW in the finished device. (i) False-color SEM image of the finished device. The NW is located at the center of the µm wide mesa. & Fig.. Transfer characteristics for a device with WNW = 5 nm..5.5 V =. to.9 V GS =. V = 9 nm (A/μm) Fig. : Subthreshold characteristics for the same device as in Fig V =. to. V GS =. V = 5 nm V DS : 5 mv 5 mv = 65 μa/μm SS = 66 mv/dec. DIBL = 65 mv/v SS (mv/dec.) SS mv/dec.) ( Fig. : Subthreshold slope versus VGS for the same device as in Fig.. 8 V DS : 5 mv 5 mv V DS =.5 V = 9 nm = 5 nm V DS Fig. 5: Output characteristics for a device with LG = 75 nm and WNW = 9 nm V DS Fig. 6: Output characteristics for a device with LG = 75 nm and WNW = 5 nm Fig. 7: Subthreshold slope for devices with different WNW and LG.

5 SS mv/dec.) ( g d Fig. 8: Subthreshold slope versus WNW at VDS =.5 V and LG = 75 nm V T =.5 V 6 8 Fig. : Output conductance versus WNW, measured at VDS = VGS VT =.5 V. (μa/μm) Experimental Theoretical [] [] Non-planar = 5 nm [] [] [7] This work [] I OFF = na/μm V DD =.5 V Fig. 7: Benchmark of ION at VDD =.5 V and IOFF = na/µm. [6] λ = nm [] [] Fig. : Peak gm versus WNW and (inset) LG, all measured at VDS =.5 V. SS ( mv/dec.) DIBL (mv/v) V DS =.5 V A B C Sample Fig. 9: Mean (squares) and median (crosses) SS for different samples = μa/μm 6 8 Fig. : DIBL versus WNW measured at IDS = µa/µm Fig. 5: ION versus WNW and LG at IOFF = na/µm. This work [] 5 [] 7 5 [6] [] 5 [] [9] [] [8] [] 5 SS (mv/dec) Fig. 8: Benchmark of the quality factor gm/ss at VDS =.5 V. [5] D = 5 nm 5 5 = 5 nm 5 5 [] 5 Q = Non-planar SS (mv/dec.) V T μ e (cm /Vs) (μa/μm) V DS = 5 mv = 5 - nm EOT Fig. : Average subthreshold slope (each point is ~ devices) versus EOT Theoretical = μa/μm. 6 8 Fig. : Threshold voltage versus WNW. Dashed traces show a QW model (μa) V DS = mv T L = K Fig. 6: µe and λ, measured from quantized current (inset), versus WNW. 8 6 I OFF = na/μm % This work - InGaAs Tri-Gate 6% nm FinFET 77% III-V,Surface 6% Si V DD =.5 nm FinFET,Chip Technology Fig. 9: Benchmark of various technologies. 5 λ

Single suspended InGaAs nanowire MOSFETs

Single suspended InGaAs nanowire MOSFETs Single suspended InGaAs nanowire MOSFETs Zota, Cezar B.; Wernersson, Lars-Erik; Lind, Erik Published in: Technical Digest - International Electron Devices Meeting, IEDM DOI:.9/IEDM.5.7988 Published: 6--6

More information

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0. Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.3 V Memisevic, E.; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik;

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process Jianqiang Lin, Xin Zhao, Tao Yu, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Jianqiang Lin, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

InGaAs MOSFETs for CMOS:

InGaAs MOSFETs for CMOS: InGaAs MOSFETs for CMOS: Recent Advances in Process Technology J. A. del Alamo, D. Antoniadis, A. Guo, D.-H. Kim 1, T.-W. Kim 2, J. Lin, W. Lu, A. Vardi and X. Zhao Microsystems Technology Laboratories,

More information

Nanoscale III-V CMOS

Nanoscale III-V CMOS Nanoscale III-V CMOS J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology SEMI Advanced Semiconductor Manufacturing Conference Saratoga Springs, NY; May 16-19, 2016

More information

III-V CMOS: the key to sub-10 nm electronics?

III-V CMOS: the key to sub-10 nm electronics? III-V CMOS: the key to sub-10 nm electronics? J. A. del Alamo Microsystems Technology Laboratories, MIT 2011 MRS Spring Meeting and Exhibition Symposium P: Interface Engineering for Post-CMOS Emerging

More information

InGaAs Nanoelectronics: from THz to CMOS

InGaAs Nanoelectronics: from THz to CMOS InGaAs Nanoelectronics: from THz to CMOS J. A. del Alamo Microsystems Technology Laboratories, MIT IEEE International Conference on Electron Devices and Solid-State Circuits Hong Kong, June 3, 2013 Acknowledgements:

More information

Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si

Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si Berg, Martin; Persson, Karl-Magnus; Kilpi, Olli-Pekka; Svensson, Johannes; Lind, Erik; Wernersson, Lars-Erik Published in: Technical

More information

Scaling of InGaAs MOSFETs into deep-submicron regime (invited)

Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Y.Q. Wu, J.J. Gu, and P.D. Ye * School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47906 * Tel: 765-494-7611,

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices Jesús A. del Alamo, Xin Zhao, Wenjie Lu, Alon Vardi Microsystems Technology Laboratories, MIT E 3 S Retreat September

More information

Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si

Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si Memisevic, Elvedin; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik; Wernersson, Lars-Erik Published in: IEEE Electron

More information

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach Xin Zhao, Jianqiang Lin, Christopher Heidelberger, Eugene A. Fitzgerald and Jesús A. del Alamo Microsystems Technology Laboratories, MIT

More information

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs J. A. del Alamo Microsystems Technology Laboratories, MIT Purdue University, West Lafayette, IN; September 29,

More information

III-V Channel Transistors

III-V Channel Transistors III-V Channel Transistors Jesús A. del Alamo Professor Microsystems Technology Laboratories MIT Acknowledgements: Students and collaborators: D. Antoniadis, J. Lin, W. Lu, A. Vardi, X. Zhao Sponsors: Applied

More information

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies J. A. del Alamo Microsystems Technology Laboratories, MIT ESSDERC-ESSCIRC 2013 Bucharest, Romania, September 16-20, 2013 Acknowledgements:

More information

Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si

Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si Berg, Martin; Kilpi, Olli-Pekka; Persson, Karl-Magnus; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik; Wernersson,

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

InGaAs is a promising channel material candidate for

InGaAs is a promising channel material candidate for 468 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 30, NO. 4, NOVEMBER 2017 A Si-Compatible Fabrication Process for Scaled Self-Aligned InGaAs FinFETs A. Vardi, Member, IEEE, J.Lin,Member, IEEE,

More information

InGaAs MOSFET Electronics

InGaAs MOSFET Electronics InGaAs MOSFET Electronics J. A. del Alamo Microsystems Technology Laboratories, MIT The 17 th International Symposium Physics of Semiconductors and Applications Jeju, Korea, December 7-11, 2014 Acknowledgements:

More information

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs J. A. del Alamo, X. Zhao, W. Lu, and A. Vardi Microsystems Technology Laboratories Massachusetts Institute of Technology 5 th Berkeley

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

InAs Quantum-Well MOSFET for logic and microwave applications

InAs Quantum-Well MOSFET for logic and microwave applications AWAD June 29 th 2012 Accelerating the next technology revolution InAs Quantum-Well MOSFET for logic and microwave applications T.-W. Kim, R. Hill, C. D. Young, D. Veksler, L. Morassi, S. Oktybrshky 1,

More information

Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs

Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs Jie Min 1, Peter Asbeck UCSD 1 Present address: Global Foundries, Santa Clara, CA Schematic TFET Structures Based on

More information

Aspemyr, Lars; Jacobsson, Harald; Bao, Mingquan; Sjöland, Henrik; Ferndal, Mattias; Carchon, G

Aspemyr, Lars; Jacobsson, Harald; Bao, Mingquan; Sjöland, Henrik; Ferndal, Mattias; Carchon, G A 15 GHz and a 2 GHz low noise amplifier in 9 nm RF CMOS Aspemyr, Lars; Jacobsson, Harald; Bao, Mingquan; Sjöland, Henrik; Ferndal, Mattias; Carchon, G Published in: Topical Meeting on Silicon Monolithic

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) K. Moselund 1, D. Cutaia 1. M. Borg 1, H. Schmid 1, S. Sant 2, A. Schenk 2 and H. Riel 1 1 IBM Research

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

MOSFET short channel effects

MOSFET short channel effects MOSFET short channel effects overview Five different short channel effects can be distinguished: velocity saturation drain induced barrier lowering (DIBL) impact ionization surface scattering hot electrons

More information

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) 3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) Pei W. Ding, Kristel Fobelets Department of Electrical Engineering, Imperial College London, U.K. J. E. Velazquez-Perez

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

Acknowledgments: This work was supported by Air Force HiREV program and the DTRA Basic Research Program.

Acknowledgments: This work was supported by Air Force HiREV program and the DTRA Basic Research Program. Gate Bias and Geometry Dependence of Total-Ionizing-Dose Effects in InGaAs Quantum-Well MOSFETs K. Ni 1, E. X. Zhang 1, R. D. Schrimpf 1, D. M. Fleetwood 1, R. A. Reed 1, M. L. Alles 1, J. Lin 2, and J.

More information

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area. Why Scaling? Higher density : Integration of more transistors onto a smaller chip : reducing the occupying area and production cost Higher Performance : Higher current drive : smaller metal to metal capacitance

More information

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Journal of Electron Devices, Vol. 18, 2013, pp. 1537-1542 JED [ISSN: 1682-3427 ] DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Suman Lata Tripathi and R. A.

More information

Performance Analysis of 20 nm Pentagonal and Trapezoidal NanoWire Transistor with Si and Ge Channel

Performance Analysis of 20 nm Pentagonal and Trapezoidal NanoWire Transistor with Si and Ge Channel Performance Analysis of 20 nm Pentagonal and Trapezoidal NanoWire Transistor with Si and Ge Channel SANDEEP SINGH GILL 1, JAIDEV KAUSHIK 2, NAVNEET KAUR 3 Department of Electronics and Communication Engineering

More information

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5 Eigen # Gate Gate Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET Lecture 5 Thin-Body MOSFET Carrier Transport quantum confinement effects low-field mobility: Orientation and Si Thickness

More information

CHAPTER 3 TWO DIMENSIONAL ANALYTICAL MODELING FOR THRESHOLD VOLTAGE

CHAPTER 3 TWO DIMENSIONAL ANALYTICAL MODELING FOR THRESHOLD VOLTAGE 49 CHAPTER 3 TWO DIMENSIONAL ANALYTICAL MODELING FOR THRESHOLD VOLTAGE 3.1 INTRODUCTION A qualitative notion of threshold voltage V th is the gate-source voltage at which an inversion channel forms, which

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2 Contents Acknowledgements Curriculum Vitæ Abstract List of Figures List of Tables v vi viii xii xviii 1 Introduction 1 1.1 Si MOSFET Scaling......................... 2 2 General MOSFET Scaling Theory 7

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

Performance Analysis of InGaAs Double Gate MOSFET

Performance Analysis of InGaAs Double Gate MOSFET Performance Analysis of InGaAs Double Gate MOSFET Ms. Karthika Rani P, Ms. Kavitha T Abstract-Technological improvements have been made due to the scaling of device dimensions in order to attain continuous

More information

Alternative Channel Materials for MOSFET Scaling Below 10nm

Alternative Channel Materials for MOSFET Scaling Below 10nm Alternative Channel Materials for MOSFET Scaling Below 10nm Doug Barlage Electrical Requirements of Channel Mark Johnson Challenges With Material Synthesis Introduction Outline Challenges with scaling

More information

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation Australian Journal of Basic and Applied Sciences, 2(3): 406-411, 2008 ISSN 1991-8178 Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation 1 2 3 R. Muanghlua, N. Vittayakorn and A.

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Ultra High-Speed InGaAs Nano-HEMTs

Ultra High-Speed InGaAs Nano-HEMTs Ultra High-Speed InGaAs Nano-HEMTs 2003. 10. 14 Kwang-Seok Seo School of Electrical Eng. and Computer Sci. Seoul National Univ., Korea Contents Introduction to InGaAsNano-HEMTs Nano Patterning Process

More information

Lecture-45. MOS Field-Effect-Transistors Threshold voltage

Lecture-45. MOS Field-Effect-Transistors Threshold voltage Lecture-45 MOS Field-Effect-Transistors 7.4. Threshold voltage In this section we summarize the calculation of the threshold voltage and discuss the dependence of the threshold voltage on the bias applied

More information

8. Characteristics of Field Effect Transistor (MOSFET)

8. Characteristics of Field Effect Transistor (MOSFET) 1 8. Characteristics of Field Effect Transistor (MOSFET) 8.1. Objectives The purpose of this experiment is to measure input and output characteristics of n-channel and p- channel field effect transistors

More information

Lecture 31 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 25, 2007

Lecture 31 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 25, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 31-1 Lecture 31 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 25, 2007 Contents: 1. Short-channel effects

More information

Device architectures for the 5nm technology node and beyond Nadine Collaert

Device architectures for the 5nm technology node and beyond Nadine Collaert Device architectures for the 5nm technology node and beyond Nadine Collaert Distinguished member of technical staff, imec Outline Introduction Beyond FinFET: lateral nanowires and vertical transistors

More information

InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology

InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology pss-header will be provided by the publisher Review copy not for distribution 0 0 (pss-logo will be inserted here by the publisher) InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology

More information

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches University of Pennsylvania From the SelectedWorks of Nipun Sinha 29 Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches Nipun Sinha, University of Pennsylvania Timothy S.

More information

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications Vice President, Technology Manufacturing Group Intel Corporation August 2013 Outlines

More information

EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS

EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS B. Lakshmi 1 and R. Srinivasan 2 1 School of Electronics Engineering, VIT University, Chennai,

More information

Experimentally reported sub-60mv/dec

Experimentally reported sub-60mv/dec Experimentally reported sub-60mv/dec swing in Tunnel FETs? 1 We considered InAs conventional, lateral transistor architectures: GAA nanowire, Fin FETs FETs (Tri gate) UTB,DG SOI Analysis is not directly

More information

High-speed logic integrated circuits with solutionprocessed self-assembled carbon nanotubes

High-speed logic integrated circuits with solutionprocessed self-assembled carbon nanotubes In the format provided by the authors and unedited. DOI: 10.1038/NNANO.2017.115 High-speed logic integrated circuits with solutionprocessed self-assembled carbon nanotubes 6 7 8 9 10 11 12 13 14 15 16

More information

Logic Technology Development, *QRE, ** TCAD Intel Corporation

Logic Technology Development, *QRE, ** TCAD Intel Corporation A 32nm Logic Technology Featuring 2nd-Generation High-k + Metal-Gate Transistors, Enhanced Channel Strain and 0.171um 2 SRAM Cell Size in a 291Mb Array S. Natarajan, M. Armstrong, M. Bost, R. Brain, M.

More information

INTRODUCTION: Basic operating principle of a MOSFET:

INTRODUCTION: Basic operating principle of a MOSFET: INTRODUCTION: Along with the Junction Field Effect Transistor (JFET), there is another type of Field Effect Transistor available whose Gate input is electrically insulated from the main current carrying

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Challenges and Innovations in Nano CMOS Transistor Scaling

Challenges and Innovations in Nano CMOS Transistor Scaling Challenges and Innovations in Nano CMOS Transistor Scaling Tahir Ghani Intel Fellow Logic Technology Development October, 2009 Nikkei Presentation 1 Outline Traditional Scaling Traditional Scaling Limiters,

More information

In principle, the high mobilities of InGaAs and

In principle, the high mobilities of InGaAs and 114Conference report: IEDM part 2 Meeting the challenge of integrating III-Vs with deep submicron silicon High-mobility devices based on indium gallium arsenide (InGaAs) channels could benefit the performance

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing Transistor Elements for 30nm Physical Gate Length and Beyond A compiled version

More information

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Antonio Oblea: McNair Scholar Dr. Stephen Parke: Faculty Mentor Electrical Engineering As an independent double-gate, silicon-on-insulator

More information

Supporting Information. Air-stable surface charge transfer doping of MoS 2 by benzyl viologen

Supporting Information. Air-stable surface charge transfer doping of MoS 2 by benzyl viologen Supporting Information Air-stable surface charge transfer doping of MoS 2 by benzyl viologen Daisuke Kiriya,,ǁ, Mahmut Tosun,,ǁ, Peida Zhao,,ǁ, Jeong Seuk Kang, and Ali Javey,,ǁ,* Electrical Engineering

More information

HIGH-EFFICIENCY MQW ELECTROABSORPTION MODULATORS

HIGH-EFFICIENCY MQW ELECTROABSORPTION MODULATORS HIGH-EFFICIENCY MQW ELECTROABSORPTION MODULATORS J. Piprek, Y.-J. Chiu, S.-Z. Zhang (1), J. E. Bowers, C. Prott (2), and H. Hillmer (2) University of California, ECE Department, Santa Barbara, CA 93106

More information

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 5 November 2015 ISSN (online): 2349-784X Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

More information

CMOS beyond Si: Nanometer-Scale III-V MOSFETs

CMOS beyond Si: Nanometer-Scale III-V MOSFETs CMOS beyond Si: Nanometer-Scale III-V MOSFETs Jesús A. del Alamo, Xiaowei Cai, Jianqiang Lin, Wenjie Lu, Alon Vardi, Xin Zhao Microsystems Technology Laboratories, Massachusetts Institute of Technology,

More information

3-7 Nano-Gate Transistor World s Fastest InP-HEMT

3-7 Nano-Gate Transistor World s Fastest InP-HEMT 3-7 Nano-Gate Transistor World s Fastest InP-HEMT SHINOHARA Keisuke and MATSUI Toshiaki InP-based InGaAs/InAlAs high electron mobility transistors (HEMTs) which can operate in the sub-millimeter-wave frequency

More information

CMOS Scaling Beyond FinFETs: Nanowires and TFETs

CMOS Scaling Beyond FinFETs: Nanowires and TFETs SEMATECH Symposium June 23, 2011 Tokyo Accelerating the next technology revolution CMOS Scaling Beyond FinFETs: Nanowires and TFETs Chris Hobbs, Wei-Yip Loh, Kerem Akarvardar, Paul Kirsch, and Raj Jammy

More information

Leakage Modeling for Devices with Steep Sub-threshold Slope Considering Random Threshold Variations

Leakage Modeling for Devices with Steep Sub-threshold Slope Considering Random Threshold Variations Leakage Modeling for Devices with Steep Sub-threshold Slope Considering Random Threshold Variations Ayan Paul, Chaitanya Kshirsagar, Sachin S. Sapatnekar, Steven Koester and Chris H. Kim Electrical and

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

Supporting Information

Supporting Information Supporting Information Fabrication and Transfer of Flexible Few-Layers MoS 2 Thin Film Transistors to any arbitrary substrate Giovanni A. Salvatore 1, *, Niko Münzenrieder 1, Clément Barraud 2, Luisa Petti

More information

Advanced MOSFET Basics. Dr. Lynn Fuller

Advanced MOSFET Basics. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Advanced MOSFET Basics Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Characteristic mode based pattern reconfigurable antenna for mobile handset

Characteristic mode based pattern reconfigurable antenna for mobile handset Characteristic mode based pattern reconfigurable antenna for mobile handset Li, Hui; Ma, Rui; Chountalas, John; Lau, Buon Kiong Published in: European Conference on Antennas and Propagation (EuCAP), 2015

More information

General look back at MESFET processing. General principles of heterostructure use in FETs

General look back at MESFET processing. General principles of heterostructure use in FETs SMA5111 - Compound Semiconductors Lecture 11 - Heterojunction FETs - General HJFETs, HFETs Last items from Lec. 10 Depletion mode vs enhancement mode logic Complementary FET logic (none exists, or is likely

More information

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs MBE 2008, Vancouver, B.C. Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs Mark Wistey, Greg Burek, Uttam Singisetti, Austin Nelson, Brian Thibeault, Joël Cagnon, Susanne Stemmer, Arthur

More information

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET 110 6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET An experimental study has been conducted on the design of fully depleted accumulation mode SOI (SIMOX) MOSFET with regard to hot carrier

More information

Fully Depleted Devices

Fully Depleted Devices 4 Fully Depleted Devices FDSOI and FinFET Bruce Doris, Ali Khakifirooz, Kangguo Cheng, and Terence Hook CONTENTS 4.1 Overview... 71 4.2 Introduction: Challenges of Conventional CMOS Technology...72 4.3

More information

SEVERAL III-V materials, due to their high electron

SEVERAL III-V materials, due to their high electron IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 64, NO. 1, JANUARY 2017 239 Gate Bias and Geometry Dependence of Total-Ionizing-Dose Effects in InGaAs Quantum-Well MOSFETs Kai Ni, Student Member, IEEE, En Xia

More information

High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications

High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications Zhongda Li, John Waldron, Shinya Takashima, Rohan Dayal, Leila Parsa, Mona Hella, and T. Paul Chow Department

More information

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET Ch. 13 MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor : I D D-mode E-mode V g The gate oxide is made of dielectric SiO 2 with e = 3.9 Depletion-mode operation ( 공핍형 ): Using an input gate voltage

More information

Tunneling Field Effect Transistors for Low Power ULSI

Tunneling Field Effect Transistors for Low Power ULSI Tunneling Field Effect Transistors for Low Power ULSI Byung-Gook Park Inter-university Semiconductor Research Center and School of Electrical and Computer Engineering Seoul National University Outline

More information

Modelling of electronic and transport properties in semiconductor nanowires

Modelling of electronic and transport properties in semiconductor nanowires Modelling of electronic and transport properties in semiconductor nanowires Martin P. Persson,1 Y. M. Niquet,1 S. Roche,1 A. Lherbier,1,2 D. Camacho,1 F. Triozon,3 M. Diarra,4 C. Delerue4 and G. Allan4

More information

MOS Capacitance and Introduction to MOSFETs

MOS Capacitance and Introduction to MOSFETs ECE-305: Fall 2016 MOS Capacitance and Introduction to MOSFETs Professor Peter Bermel Electrical and Computer Engineering Purdue University, West Lafayette, IN USA pbermel@purdue.edu 11/4/2016 Pierret,

More information

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801 Comparative study of self-aligned and nonself-aligned SiGe p-metal oxide semiconductor modulation-doped field effect transistors with nanometer gate lengths Wu Lu Department of Electrical and Computer

More information

Performance Evaluation of MISISFET- TCAD Simulation

Performance Evaluation of MISISFET- TCAD Simulation Performance Evaluation of MISISFET- TCAD Simulation Tarun Chaudhary Gargi Khanna Rajeevan Chandel ABSTRACT A novel device n-misisfet with a dielectric stack instead of the single insulator of n-mosfet

More information

DBR based passively mode-locked 1.5m semiconductor laser with 9 nm tuning range Moskalenko, V.; Williams, K.A.; Bente, E.A.J.M.

DBR based passively mode-locked 1.5m semiconductor laser with 9 nm tuning range Moskalenko, V.; Williams, K.A.; Bente, E.A.J.M. DBR based passively mode-locked 1.5m semiconductor laser with 9 nm tuning range Moskalenko, V.; Williams, K.A.; Bente, E.A.J.M. Published in: Proceedings of the 20th Annual Symposium of the IEEE Photonics

More information

Experiment 3. 3 MOSFET Drain Current Modeling. 3.1 Summary. 3.2 Theory. ELEC 3908 Experiment 3 Student#:

Experiment 3. 3 MOSFET Drain Current Modeling. 3.1 Summary. 3.2 Theory. ELEC 3908 Experiment 3 Student#: Experiment 3 3 MOSFET Drain Current Modeling 3.1 Summary In this experiment I D vs. V DS and I D vs. V GS characteristics are measured for a silicon MOSFET, and are used to determine the parameters necessary

More information

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; Chapter 3 Field-Effect Transistors (FETs) 3.1 Introduction Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; The concept has been known

More information

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information