Lecture 13 Basic Photolithography

Size: px
Start display at page:

Download "Lecture 13 Basic Photolithography"

Transcription

1 Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64

2 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov). 2/64

3 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course grade. You should have all been assigned your first-choice topic. The term paper should be handed in at the start of class on Tuesday 21 st November. Details / regulations are on the course website. The term paper will be returned to you in class on Thursday 30 th November. 3/64

4 Useful Links Berkley: University of Michigan: re%2016%20-%20mar%2009.pdf MIT: cture09.pdf KTH: 9%20Litho.pdf 4/64

5 Lecture 13 Overview of Photolithography Process Mask Fabrication. Photoresists. Photoresist Deposition. Exposure. Development. 5/64

6 Overview of Photolithography Process 6/64

7 Feature Sizes Way of quantifying tolerance in distance of mask from wafer Deep ultraviolet 7/64

8 Patterning Wafers Overall the process of patterning a wafer can be broadly divided into 3 steps: We are interested in the process of wafer exposure. Mask Design Mask Writing Wafer Exposure 8/64

9 Apply The Photolithography Process Apply photoresist. Expose photoresist through a patterned mask or reticle. Develop PR by immersing it in a solvent which preferentially dissolves the PR of higher solubility. Process the exposed part of the wafer. Strip away the remaining photoresist. Inspect pattern. Photoresist PR Substrate Mask Strip Etch Develop Expose 9/64

10 Photo Process Flowchart Photo room Clean Apply HMDS Spin Coat prebake Adhesion Promoter Exposure Develop postbake Plasma descum Process (Etch / Implant/ Lift-off) Strip 10/64

11 Mask Fabrication 11/64

12 Mask Fabrication Starting material for reticle manufacturing is ~80 nm thick film of chromium covered with resist and anti-reflective coating (ARC). Chromium has very good adhesion and opaque properties. Substrate: quartz glass plate. Patterned by direct writing using e- beam or laser usually wet etching of Cr after exposure. 4 or 5 magnification is normal for projection lithography. Pellicle used for dust protection of reticle. 12/64

13 Optical Proximity Correction As we will see later, the wave-nature of light means that we cannot exactly recreate the features on a wafer: Aperture Divergent light source Collimating lens 13/64

14 Optical Proximity Correction Optical Proximity Correction (OPC): Clever mask engineering based on software algorithms can compensate some of this error. This requires sophisticated computer modeling. 14/64

15 OPC Examples 15/64

16 Photoresists 16/64

17 Photoresists Photoresist (PR): An organic compound (often a polymer) with a photoactive component (PAC) whose solubility changes upon exposure to radiation (light). Positive Photoresist: Irradiated regions become more soluble than nonirradiated regions. R O N 2 hn R C + N 2 O More soluble regions Mask Strip Etch Develop Expose 17/64

18 Photoresists Photoresist (PR): An organic compound (often a polymer) with a photoactive component (PAC) whose solubility changes upon exposure to radiation (light). Negative Photoresist: Irradiated regions become less soluble than non-irradiated regions. SU8 Cross-linked polymer hn More soluble regions Mask Strip Etch Develop Expose 18/64

19 Comparison of Photoresists Characteristic Positive Negative Adhesion to Silicon Fair Excellent Relative Cost More expensive Less expensive Developer Base Aqueous Organic Solubility in the developer Exposed region is soluble Minimum Feature 0.5 µm 2 µm Step Coverage Better Lower Wet Chemical Resistance Fair Exposed region is insoluble Excellent 19/64

20 Key Parameters of a Photoresist Resolution: The smallest opening or island structure that can be made under a given set of process conditions (related to contrast). Registration: Overlay accuracy from layer to layer. Sensitivity: The number of photons it takes to cause the chemical response in the PR. A resist is more sensitive if it takes a lower dose to reproduce the mask geometry on the wafer. Shelf life: The time you can reliably store a PR. Etch Resistance: The ability of the resist which remains on the wafer after it is patterned to withstand the process environment that the exposed wafer is subjected to. 20/64

21 Photoresist Deposition 21/64

22 HMDS: Adhesion Promoter HMDS is the common name for hexamethyldisilazane: ((CH 3 ) 3 Si) 2 NH. Common photo-resists do not wet the surface of H-terminated Si/SiO 2 very well. HMDS is applied to the surface to improve wetting before the photoresist is deposited. H H H H O O O O Si O Si O Si O Si O Si Si O O Si Si CH 3 H 3 CSi CH 3 CH 3 H 3 CSi CH 3 Si O Si O Si O Si O CH 3 H 3 CSi O O O O Si Si CH 3 O O CH 3 H 3 CSi Si Si CH 3 22/64

23 HMDS: Adhesion Promoter HMDS is applied in vapor phase. But first hydroxyl groups must be removed from the wafer surface. H H H H O O O O Cleaning or oxygen plasma can be used. Si O Si O Si O Si Si O Si O Si O Si Si O Si O Si O Si Si O Si O Si O Si Must be conducted in inert atmosphere. 23/64

24 HMDS: Adhesion Promoter HMDS is applied in vapor phase. In the lab: HMDS-rich atmosphere Industrially: HMDS in beaker Cleaned Wafer HMDS is applied using a bubbler. Hotplate (~100 C) 24/64

25 Photoresist Deposition Photoresist is deposited by spin-coating. Photoresist (in solution) is deposited onto center of wafer. Wafer is rotated and material is spread out by centrifugal force. 25/64

26 Photoresist Deposition 1). Photoresist (in solvent) is deposited in center of the wafer. Wafer is held in place with vacuum chuck. 2). Wafer is rotated slowly (200 rpm) to distribute material. 3). Accelerate the wafer to final speed (~5000 rpm). Spin the wafer at constant speed for 30 60s. Forms a uniform film and evaporates solvent. 26/64

27 Photoresist Deposition Industrially this is done with robotic arms and automated dispensers: Extremely uniform films can be deposited using spin coating (rms roughness ~ Å s) 27/64

28 Film Thickness It can be shown (we won t) that the final film thickness depends on the spinning speed via: Film thickness t 1 ω Angular velocity Actual thickness will also depend on: Concentration. Solvent evaporation rate Viscosity. Local temperature. Local humidity. 28/64

29 Exposure 29/64

30 Photoresist Exposure to UV With our photoresist deposited, we now need to develop it. To do this we expose it to light (typically UV). Our photo-resist molecules absorb these short wavelength photons. Bonds are broken in the polymer. Either the molecules become more soluble in the developer (positive photoresist). Or the molecules cross-link an become insoluble (negative photoresist). 30/64

31 Exposure Techniques Three approaches are typically taken to exposure: Contact Printing Mask Defects Bowing of mask 1:1 Printing Proximity Printing 2-4 μm resolution Mask space ( 25 mm) Mask lens 2-5 X reduction Printing System Magn. Resolution (μm) Use Contact Research Proximity Low Cost Projection Mainstream VLSI Projection Printing 31/64

32 Light Sources Traditionally, mercury vapor lamps were employed for photolithography. 32/64

33 Light Sources Mercury lamp has four main emission lines: E, G, H, I: For projection printing, we ideally want monochromatic light. 33/64

34 Light Sources Nowadays for projection printing, and excimer laser is employed: These are pulsed lasers. Laser Emission Wavelength (nm) Resolution (μm) Max Energy (mj / Pulse) Repetition Rate (pulses / second) KrF ArF F < /64

35 Diffraction Modern lithography tools are limited by the spreading of light (and not their optical elements) Light passing through an aperture of similar dimensions to the wavelength of the incident light (λ~ 100 s nm), will result in diffraction. Divergent light source Collimating lens Aperture Diffraction pattern (Airy disk) 35/64

36 Diffraction The type of diffraction observed depends on the mask-wafer separation. Hard-contact: (almost) no diffraction. Proximity: Near field (Fresnel) diffraction. Projection: Far field or (Fraunhofer) diffraction. 36/64

37 Diffraction The difference between Fresnel (near field) and Fraunhofer (far field) is defined by the dimensionless Fresnel number (F): Where: W is size of the aperture. F = W2 Lλ L is the distance of the screen (wafer) from the aperture. λ is the wavelength of the incident light. We define: F 1 as Fresnel diffraction. F 1 as Fraunhofer diffraction. 37/64

38 Fresnel Diffraction Fresnel (near field) occurs in proximity printing. L Minimum resolvable feature size is: Where: W min = klλ k is an experimental parameter associated with the process conditions. 38/64

39 Example Determine the minimum feature size when exposing a wafer to i-line irradiation, using a mask 25 μm from the surface of the wafer. Assume for this example k = 1. W min = klλ From before we know the wavelength of the i-line is λ i = 365 nm. Work in microns: λ i = μm. W min = W min = 3 μm 39/64

40 Fraunhofer Diffraction Fraunhofer (far field) occurs in projection printing. d = Lens diameter f = Focal length 40/64

41 Fraunhofer Diffraction Diffraction pattern from a single circular opening: f = Focal length λ = Wavelength of incident light d = Lens diameter 41/64

42 Fraunhofer Diffraction We can think of a mask as a diffraction grating: Divergent light source Collimating lens Mask (diffraction grating) Each aperture in mask acts as a point source. 42/64

43 Fraunhofer Diffraction We can think of a mask as a diffraction grating: Divergent light source Collimating lens Mask Focusing lens Each aperture in mask acts as a point source. Photoresist on wafer 43/64

44 Fraunhofer Diffraction The resolution in Fraunhofer diffraction is defined by the Rayleigh criterion. Rayleigh Criterion: when the peak of one projection lands on the first zero of the other. 44/64

45 Fraunhofer Diffraction The resolution in Fraunhofer diffraction is defined by the Rayleigh criterion. Rayleigh Criterion: when the peak of one projection lands on the first zero of the other: 45/64

46 Fraunhofer Diffraction The resolution in Fraunhofer diffraction is quantified by the Rayleigh Criterion (R): f = Focal length d = Lens diameter R = k 1 λ f d k 1 is an experimental parameter associated with the system and resist (0.6 <k 1 < 0.8). The parameter dτf is sometimes called NA (numerical aperture): NA = d f = nsinα R = k 1λ NA n = index of refraction (1 in air). α = maximum half angle of incident light: α = Maximum half-angle 46/64

47 Modulation Transfer Function The modulation transfer function (MTF) quantifies how much modulation of light we achieve on the wafer: Photoresist on wafer Divergent light source Intensity at Mask Collimating lens Mask Intensity on wafer Focusing lens 1 1 I Max I Min 0 0 Position Position 47/64

48 Modulation Transfer Function Intensity at Mask 1 Intensity on wafer 1 I Max I Min 0 Position 0 Position We define MTF: MTF = I max I min I max + I min MTF is defined between 0 (small features) and 1 (large features). Generally, MTF needs to be > 0.5 for the resist to resolve features. 48/64

49 MTF vs Feature Size MTF MTF = I max I min I max + I min 49/64

50 Depth Focus: δ Depth of focus defines distance along straight optical path that wafer can be moved but keep the image in focus Photoresist on wafer Divergent light source Collimating lens Mask Focusing lens δ Basically, δ defines how accurate we need to be when positioning the lens at a distance from the wafer. 50/64

51 Depth Focus: δ Recall the resolution (Raleigh Criteria) was defined as: R = k 1 λ f d = k 1λ NA The depth of focus is defined as: d f Photoresist on wafer δ = k 2λ NA 2 = k 2λ f d 2 Focusing lens δ k 2 is another experimental parameter associated with the system and resist (k 2 ~0.5). 51/64

52 Example Consider a setup where the focal length is 5 the diameter of the lens. Assume k 1 = k 2 = 0.5. f = 5d Use F 2 laser: λ = 157 nm, NA = d 5d = 0.2 d Photoresist on wafer R = = nm Focusing lens δ δ = = 1.96 μm 52/64

53 Standing Waves Standing waves a problem, in particular when exposing on reflective layers such as metals. 53/64

54 Standing Waves Suppressed by antireflective coating (ARC) prior to resist spinning 54/64

55 Development 55/64

56 Development We now wish to dissolve the regions of the photoresist which have higher solubility. Exposed regions (positive photoresist). Masked regions (negative photoresist). Three strategies: Immersion Developing Spray Developing Puddle Technique Wafers develop solution ph and # of lots processed are monitored Developer fresh developer with each batch fixed amount of developer dispensed and rinsed 56/64

57 Development We now wish to dissolve the regions of the photoresist which have higher solubility. Exposed regions (positive photoresist). Masked regions (negative photoresist). We need the following: Original thickness of positive resist should not be measurably reduced. Development time should be short. Minimum pattern distortion (negative resists tend to swell). 57/64

58 Prebake & Postbake Evaporates resist solvent. Improves adhesion. Anneals out stress in PR. Increases etch resistance (postbake). Bake ovens: Convection/ hot air. Infrared (IR). Hot-plate. Temperature: Prebake: C. Postbake: 120 C. Clean Exposure Process Apply HMDS Adhesion Promoter (Etch / Implant/ Lift-off) Develop Strip Spin Coat postbake Photo room Plasma descum 58/64 prebake

59 Plasma descum and Strip Not all the resist is removed during development. Plasma descum or ashing is used to remove the residue. Plasmas are also used to strip the PR. Clean Exposure Process Apply HMDS Adhesion Promoter (Etch / Implant/ Lift-off) Barrel or downstream etchers are used. O 2 gas is used. Develop Strip Spin Coat postbake Photo room prebake Plasma descum Since the photoresist is organic we make use of elemental oxygen free radicals in the plasma. C-H Photoresist(s) + O(g) CO 2 (g) + H 2 O(g) 59/64

60 Downstream Plasma Plasma Ashing: Downstream Etcher Charged particles (ions & electrons) stay in plasma. Free radicals flow to wafer. Free radicals etch the wafer isotropically No surface damage and heating due to ion bombardment. R E A C T A N T G A S Electronics & Power supply (RF Microwave) Plasma Free radicals Wafer is downstream of the plasma Wafer 60/64

61 Resist Contrast Resist Contrast quantifies the ability of the resist to distinguish light/dark in the aerial image. To evaluate it we plot the developed thickness (i.e. thickness remaining after development) as a function of dose (Q). Dose (mjcm -2 ) = Intensity (mw/cm -2 ) time (s) Q = It Q 0 Q 0 Q f Q f 61/64

62 Developed Thickness Resist Contrast Quantitatively, the contrast is defined by γ: γ = log 10 1 Q f Q 0 Where: Q 0 is the onset of exposure effect. Q 0 Q 0 Q f is the dose at which the exposure is complete γ is typically Log 10 (Q) Q f 62/64

63 Lift Off Not used in VLSI, but can be used in research. Avoid etching of difficult materials Can produce a wider range of structures. 63/64

64 Next Time We will be talking about advanced techniques. Techniques for going down to sub-100nm resolution. 64/64

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Device Fabrication: Photolithography

Device Fabrication: Photolithography Device Fabrication: Photolithography 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Chapter 6. Photolithography

Chapter 6. Photolithography Chapter 6 Photolithography 2006/4/10 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions.

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions. Lithography 1 Lithography Is the Designer s Brush Lithography is indispensible for defining locations and configurations of circuit elements/functions. 2 ITRS 2007 The major challenge in litho: CD, CD

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z + - x 1 0 x Photolithographie www.halbleiter.org Contents Contents List of Figures III 1 Photolithographie 1 1.1 Exposure and resist coating..........................

More information

Chapter 6 Photolithography

Chapter 6 Photolithography Chapter 6 Photolithography Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List the four components of

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Micro/Nanolithography

Micro/Nanolithography Dale E. Ewbank dale.ewbank@rit.edu unl081413_microe.ppt 2013 Dale E. Ewbank page 1 OUTLINE Masks Optical Lithography Photoresist Sensitivity Processing Exposure Tools Advanced Processes page 2 MICROLITHOGRAPHY

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey Clean Room Technology Optical Lithography Lithography I If the automobile had followed the same development cycle as the computer, a Rolls Royce would today cost $100, get a million miles per gallon, and

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Photolithography Module

Photolithography Module Electronics Workforce Development System Photolithography Module Introduction Photolithography Module This module will teach students the different types of microlithographic systems being used today,

More information

EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98

EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98 EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98 Experiment # 3: Oxidation of silicon - Oxide etching and Resist stripping Measurement of oxide thickness using

More information

Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1

Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1 Dale E. Ewbank dale.ewbank@rit.edu ul012014.ppt 2014 Dale E. Ewbank page 1 OUTLINE Masks Optical Lithography Photoresist Sensitivity Processing Exposure Tools Advanced Processes page 2 MICROLITHOGRAPHY

More information

PHY 431 Homework Set #5 Due Nov. 20 at the start of class

PHY 431 Homework Set #5 Due Nov. 20 at the start of class PHY 431 Homework Set #5 Due Nov. 0 at the start of class 1) Newton s rings (10%) The radius of curvature of the convex surface of a plano-convex lens is 30 cm. The lens is placed with its convex side down

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365HR INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. including their Contrast Enhancement Material (CEM) technology business*. A concentrated effort in the technology advancement of a CEM led

More information

CHAPTER 2 Principle and Design

CHAPTER 2 Principle and Design CHAPTER 2 Principle and Design The binary and gray-scale microlens will be designed and fabricated. Silicon nitride and photoresist will be taken as the material of the microlens in this thesis. The design

More information

KMPR 1010 Process for Glass Wafers

KMPR 1010 Process for Glass Wafers KMPR 1010 Process for Glass Wafers KMPR 1010 Steps Protocol Step System Condition Note Plasma Cleaning PVA Tepla Ion 10 5 mins Run OmniCoat Receipt Dehydration Any Heat Plate 150 C, 5 mins HMDS Coating

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

Fabrication Techniques of Optical ICs

Fabrication Techniques of Optical ICs Fabrication Techniques of Optical ICs Processing Techniques Lift off Process Etching Process Patterning Techniques Photo Lithography Electron Beam Lithography Photo Resist ( Microposit MP1300) Electron

More information

Will contain image distance after raytrace Will contain image height after raytrace

Will contain image distance after raytrace Will contain image height after raytrace Name: LASR 51 Final Exam May 29, 2002 Answer all questions. Module numbers are for guidance, some material is from class handouts. Exam ends at 8:20 pm. Ynu Raytracing The first questions refer to the

More information

Module - 2 Lecture - 13 Lithography I

Module - 2 Lecture - 13 Lithography I Nano Structured Materials-Synthesis, Properties, Self Assembly and Applications Prof. Ashok. K.Ganguli Department of Chemistry Indian Institute of Technology, Delhi Module - 2 Lecture - 13 Lithography

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Contrast Enhancement Materials CEM 365iS

Contrast Enhancement Materials CEM 365iS INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. and the Contrast Enhancement Material (CEM) technology business from General Electric including a series of patents and technologies*. A concentrated

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

AZ 1512 RESIST PHOTOLITHOGRAPHY

AZ 1512 RESIST PHOTOLITHOGRAPHY AZ 1512 RESIST PHOTOLITHOGRAPHY STANDARD OPERATIONAL PROCEDURE Faculty Supervisor: Prof. R. Bruce Darling Students: Katherine Lugo Danling Wang Department of Electrical Engineering Spring, 2009 TABLE OF

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT INTRODUCTION BI-LAYER DEEP UV RESIST SYSTEM Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A portable conformable mask (PCM) system employing KTIS2O

More information

2.1 BASIC THEORY: INTERFERENCE OF TWO BEAMS

2.1 BASIC THEORY: INTERFERENCE OF TWO BEAMS 2 LASER INTERFERENCE LITHOGRAPHY (LIL) 9 2 LASER INTERFERENCE LITHOGRAPHY (LIL) Laser interference lithography [3~22] (LIL) is a method to produce periodic structures using two interfering highly-coherent

More information

EXPERIMENT # 3: Oxidation and Etching Week of 1/31/05 and 2/7/05

EXPERIMENT # 3: Oxidation and Etching Week of 1/31/05 and 2/7/05 EXPERIMENT # 3: Oxidation and Etching Week of 1/31/05 and 2/7/05 Experiment # 3: Oxidation of silicon - Oxide etching and Resist stripping Measurement of oxide thickness using different methods The purpose

More information

Supporting Information 1. Experimental

Supporting Information 1. Experimental Supporting Information 1. Experimental The position markers were fabricated by electron-beam lithography. To improve the nanoparticle distribution when depositing aqueous Ag nanoparticles onto the window,

More information

Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process. R. P. Rocha, J. P. Carmo, and J. H.

Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process. R. P. Rocha, J. P. Carmo, and J. H. Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process R. P. Rocha, J. P. Carmo, and J. H. Correia Department of Industrial Electronics, University of Minho, Campus

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

3.Photolithography and resist systems

3.Photolithography and resist systems 3.Photolithography and resist systems Exposure Mercury arc lamp Shadow printing projection printing Photomask Substrates Resist systems DNQ-Novolak-based Epoxy-based Polyimide based 1 Exposure Mercury

More information

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Andrea Kroner We present 85 nm wavelength top-emitting vertical-cavity surface-emitting lasers (VCSELs) with integrated photoresist

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Development of PDI plates for Industrial Applications

Development of PDI plates for Industrial Applications Technical report, IDE1058, November 2010 Development of PDI plates for Industrial Applications Master s Thesis in Electrical Engineering Muhammad Saad Siddiqui & Tahseen Iqbal School of Information Science,

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Optical Issues in Photolithography

Optical Issues in Photolithography OpenStax-CNX module: m25448 1 Optical Issues in Photolithography Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module

More information

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS Daniel R. Sutton 5th Year Microelectronic Engineering Student Rochester Institute of Technology

More information

Exercise 8: Interference and diffraction

Exercise 8: Interference and diffraction Physics 223 Name: Exercise 8: Interference and diffraction 1. In a two-slit Young s interference experiment, the aperture (the mask with the two slits) to screen distance is 2.0 m, and a red light of wavelength

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Michal URBÁNEK, Milan MATĚJKA, Jana CHLUMSKÁ, Miroslav HORÁČEK, Institute of Scientific Instruments of the

More information

A review on contemporary practices in Lithography

A review on contemporary practices in Lithography IOSR Journal of Applied Chemistry (IOSR-JAC) e-issn: 2278-5736.Volume 7, Issue 4 Ver. II. (Apr. 2014), PP 27-31 A review on contemporary practices in Lithography Perna Kishor Krishna, Mantha Anil Srimanth,

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Shadow Printing Photomask

More information

+ Preferred material for tool O Acceptable material for tool X Unacceptable material for tool

+ Preferred material for tool O Acceptable material for tool X Unacceptable material for tool Contact Aligners (HTG, ABM, EV620) GCA 5X g-line Stepper GCA i-line Steppers (GCA 10X, AS200) Shipley 1800 Series (1805, 1813, 1818, 1827) + + X AZ nlof 2000 O X + AZ4903 + + X OiR 620-7i X X + OiR 897-12i

More information

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature:

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature: Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: PID: Signature: CLOSED BOOK. TWO 8 1/2 X 11 SHEET OF NOTES (double sided is allowed), AND SCIENTIFIC POCKET CALCULATOR

More information

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY Cheng-Sheng Huang & Alvin Chang ABSTRACT Fabrication on the micro- and nano-structure has opened the new horizons in science and engineering. The success

More information

plasmonic nanoblock pair

plasmonic nanoblock pair Nanostructured potential of optical trapping using a plasmonic nanoblock pair Yoshito Tanaka, Shogo Kaneda and Keiji Sasaki* Research Institute for Electronic Science, Hokkaido University, Sapporo 1-2,

More information

MicroSpot FOCUSING OBJECTIVES

MicroSpot FOCUSING OBJECTIVES OFR P R E C I S I O N O P T I C A L P R O D U C T S MicroSpot FOCUSING OBJECTIVES APPLICATIONS Micromachining Microlithography Laser scribing Photoablation MAJOR FEATURES For UV excimer & high-power YAG

More information

Microlithography. exposing radiation. mask. imaging system (low pass filter) photoresist. develop. etch

Microlithography. exposing radiation. mask. imaging system (low pass filter) photoresist. develop. etch Microlithography Geometry Trends Master Patterns: Mask technology Pattern Transfer: Mask Aligner technology Wafer Transfer Media: Photo resist technology mask blank: transparent, mechanically rigid masking

More information

Chapter 36: diffraction

Chapter 36: diffraction Chapter 36: diffraction Fresnel and Fraunhofer diffraction Diffraction from a single slit Intensity in the single slit pattern Multiple slits The Diffraction grating X-ray diffraction Circular apertures

More information

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils EG2605 Undergraduate Research Opportunities Program Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils Tan Chuan Fu 1, Jeroen Anton van Kan 2, Pattabiraman Santhana Raman 2, Yao

More information

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME Field of the Invention The present invention relates to a polymer microstructure. In particular, the present invention

More information

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology DEVELOPMENT OF A PHOTOSENSITIVE POLYIMIDE PROCESS William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology 1~BS TRACT A six step lithographic process has been developed

More information

Chapter 2 Silicon Planar Processing and Photolithography

Chapter 2 Silicon Planar Processing and Photolithography Chapter 2 Silicon Planar Processing and Photolithography The success of the electronics industry has been due in large part to advances in silicon integrated circuit (IC) technology based on planar processing,

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training

Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training Supplementary Information Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training Yongkuk Lee 1,+, Benjamin Nicholls 2,+, Dong Sup Lee 1, Yanfei Chen 3, Youngjae Chun 3,4,

More information

Supplement: Fabrication protocol

Supplement: Fabrication protocol Supplement: Fabrication protocol The present series of protocols details how to fabricate both silica microsphere and microtoroid resonant cavities. While silica microsphere resonant cavities are wellestablished,

More information

Refractive Power of a Surface. Exposure Sources. Thin Lenses. Thick Lenses. High Pressure Hg Arc Lamp Spectrum

Refractive Power of a Surface. Exposure Sources. Thin Lenses. Thick Lenses. High Pressure Hg Arc Lamp Spectrum eractive Power o a Surace The reractive power P is measured in diopters when the radius is expressed in meters. n and n are the reractive indices o the two media. EE-57: icrofabrication n n P n n Exposure

More information

Innovative Technologies for RF & Power Applications

Innovative Technologies for RF & Power Applications Innovative Technologies for RF & Power Applications > Munich > Nov 14, 2017 1 Key Technologies Key Technologies Veeco Market Focus Advanced Packaging, MEMS & RF Lighting, Display & Power Electronics Lithography

More information

Photoresist Absorbance and Bleaching Laboratory

Photoresist Absorbance and Bleaching Laboratory MCEE 505 Lithography Materials and Processes Page 1 of 5 Photoresist Absorbance and Bleaching Laboratory Microelectronic Engineering Rochester Institute of Technology 1. OBJECTIVE The objective of this

More information

Photolithography 光刻 Part I: Optics

Photolithography 光刻 Part I: Optics 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part I: Optics Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Integrate Circuits Moore's law transistor number transistor

More information

Lecture 22 Optical MEMS (4)

Lecture 22 Optical MEMS (4) EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 22 Optical MEMS (4) Agenda: Refractive Optical Elements Microlenses GRIN Lenses Microprisms Reference: S. Sinzinger and J. Jahns,

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

MICROLITHOGRAPHY 2004

MICROLITHOGRAPHY 2004 MICROLITHOGRAPHY 2004 From Computer Aided Design (CAD) to Patterned Substrate At the CNF, a number of different options exist for producing a patterned substrate, but deciding which option is best for

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY. 2.71/2.710 Optics Spring 14 Practice Problems Posted May 11, 2014

MASSACHUSETTS INSTITUTE OF TECHNOLOGY. 2.71/2.710 Optics Spring 14 Practice Problems Posted May 11, 2014 MASSACHUSETTS INSTITUTE OF TECHNOLOGY 2.71/2.710 Optics Spring 14 Practice Problems Posted May 11, 2014 1. (Pedrotti 13-21) A glass plate is sprayed with uniform opaque particles. When a distant point

More information

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators Benjamen M. Rathsack 1, Cyrus E. Tabery 1, Cece Philbin 2, and C. Grant Willson 1 September 15, 1999 1 Department

More information