Overlay accuracy a metal layer study

Size: px
Start display at page:

Download "Overlay accuracy a metal layer study"

Transcription

1 Overlay accuracy a metal layer study Andrew Habermas 1, Brad Ferguson 1, Joel Seligson 2, Elyakim Kassel 2, Pavel Izikson 2 1 Cypress Semiconductor, 2401 East 86 th St, Bloomington, MN 55425, USA 2 KLA-Tencor, P.O.B. 143, Migdal HaEmek 23100, Israel ABSTRACT While overlay precision has received much focus in the past, overlay accuracy has become more significant with shrinking process budgets. One component of accuracy is the difference between pre-etch (DI) and post-etch (FI) overlay, which is a function of wafer processing parameters. We investigated a specific case of overlay between metal and contact layers of a 6 µm SRAM process. This layer was chosen because a significant amount of wafer contraction was observed between DI and FI, resulting in as much as 30nm of DI-FI overlay difference. The purpose of the study was to characterize the systematic DI-FI differences and gain understanding of the wafer processing parameters (i.e. CMP, metal deposition, metal etch) that affect the DI-FI differences. A designed experiment showed how certain overlay mark widths were less sensitive to processing parameters. AFM profiles of the prior-level overlay marks identified issues with mark widths 1.0um or smaller. By performing localized etches on the inner vs. outer marks of the overlay targets, it was noted that the majority of the wafer contraction was induced by etching the outer (prior level) mark. Production measurements at photo and etch showed the wafer contraction to be fairly stable over a month timeframe and independent of device and exposure tool, though large fluctuation shifts in wafer contraction were noted over a nine-month period. The methods used in this study can be helpful in understanding other DI-FI processing issues. Keywords: overlay, metal, photo-etch correlation, expansion 1. INTRODUCTION At a manufacturing fab, problems were encountered in measuring overlay at a metal layer; photo overlay measurements were % higher (using mean+3σ metrics) than measurements of the same wafers after etch. Four studies were done to address this problem; the first three were intended to characterize the problem, the final was designed to test a solution for enabling photo overlay measurements. In the first study, a design-of-experiment was launched to learn how the systematic differences between FI and DI overlay measurements depended on processing parameters {overpolishing time, metal thickness, overetch time and etch bias power}. The second study characterized the mark profiles (before and after etch) to look for physical clues of the mechanism. In the third study, a two-part etch technique was developed to distinguish whether the FI-DI contraction was due to the change in the prior-level mark during etch or the change in the current-level mark during etch. In the last study, photo and etch measurements were taken on nine production lots over a month to test the stability of the FI-DI effects over a short-term timescale and note any dependencies on exposure tool and product device.

2 2. EXPERIMENTAL 2.1 Processing parameter DOE A design of experiment (DOE) was used to identify the systematic FI-DI errors and estimate how sensitive these errors were to processing parameters. A half factorial was designed with the following input parameter modulations: metal CMP polish time (± 15%), metal deposition thickness (± 20%), metal overetch time (± 40%), and metal etch bias power (± 20%). The output parameters evaluated were the expansions and rotation differences measured between etch and photo. Overlay measurements were taken at both photo and etch on a KLA5300 for four different marks the mask set used in these studies had outer mark (prior level) widths of {0.5, 1.0, 1.5 and 2.0um}. 2.2 Mark width profiles In the next part of the study, AFM profiles were taken on the four outer mark (prior level) widths to characterize the dimensional changes during etch. Profiles were measured at both photo and etch with a Veeco D9000 AFM system. 2.3 Localized mark etching It was noted that the FI-DI systematic shifts could possibly be caused by either the transfer of current-layer resist marks into metal or the clearing of metal over the previous-layer marks. A process was designed to separate these mechanisms (see Figure 1). Step a illustrates the previous layer mark as seen entering into the metal photo step. A pad of resist is placed to protect the metal where the inner box (current layer marks) will be patterned (Step b). A metal etch step (Step c) opens the outer box marks (previous layer), and the inner box is then patterned onto the island of metal (Step d). Overlay measurements are then taken on these half DI / half FI marks. The wafer is etched again to transfer the current layer photoresist marks into the metal (Step e), and overlay measurements are again taken. Process correction deltas between Steps d and e are ideally only due to the transfer of the inner current-layer marks from resist to metal. Fig. 1: Localized mark etching process (left), top-down views (Step b = upper right, Step d = lower right) Three wafers were processed for this experiment. Wafer 1 was a control and received standard processing (no localized etching). Wafer 2 received the localized etch process, with the minimum etch time needed to clear out the metal over the outer marks (25s). Wafer 3 received a longer localized etch (50s) to determine if double-etching the outer marks was itself causing expansion effects. 2.4 Production lot measurements Over the course of one month, overlay was measured both at photo and etch on nine production lots with wafer sorting prior to each measurement. These lots were processed on two photolithography clusters (exposure tools) and consisted of two different production devices. Published overlay results use the mean+3σ metric. In comparing the DI and FI results, two important questions were asked. First, How consistent are the expansion / contraction deltas between photo and etch? To answer this question, the FI-DI expansion delta histograms were generated in JMP, checking for any exposure tool or device dependencies. Second, If we correct the photo data (adding negative expansion vectors to the photo raw data), how well do the photo and etch overlay results correlate? In this case, X and Y expansions of ppm were added to the raw photo data to simulate this process. The variation between etch and corrected photo results was used to generate FI-DI precision values for X and Y. P/T (precision-to-tolerance) scores were calculated to gauge the measurement errors of such a corrected-photo overlay metrology scheme.

3 3. RESULTS AND DISCUSSION 3.1 Processing parameter DOE results The main motivation for the DOE was to choose the overlay mark width least affected by these FI-DI effects. Two criteria were defined to evaluate the magnitude of the FI-DI effects. Photo measurements could be easily implemented in manufacturing without the need for data manipulation if a mark was found with close to zero FI-DI effects. Therefore, the first evaluation criterion was to minimize FI-DI differences for each DOE output (i.e. FI-DI expansion shift in X). The second (more critical) criterion was minimized FI-DI variability while the processing parameters in a manufacturing environment may change, the metrology should be robust over this variation. A secondary motivation for the DOE was to identify the mechanism(s) causing / affecting the FI-DI effects. While it seems unlikely that a manufacturing facility would, for example, change its metal properties to facilitate photo overlay measurements, there are situations where either current process tweaks are possible or the learning can be applied to future process development. The DOE intercepts and sensitivities were calculated for the FI-DI expansions and rotations. The smallest mark width (0.5um) was barely visible optically and was not evaluated. It was noted that the rotation effects were a factor of three smaller than the expansion effects, so the focus of the evaluation was given to the expansion errors. The average etch-photo expansion differences for the three evaluated mark widths are shown in Figure 2. A trend was noted, that larger mark widths corresponded with smaller FI-DI expansion effects. This trend was surprising because a previous study had shown the opposite trend (larger mark widths cause larger FI-DI scaling errors). 1 The expansion effects from the best mark (2.0um) were unfortunately still significantly non-zero (0ppm or 10nm for a 200mm wafer), meaning that measurement of these photo marks in a manufacturing environment would still require real-time calculations for expansion corrections. Expansion (ppm) um 1.5um 2.0um Exp X Exp Y Fig. 2: DOE FI-DI average expansion deltas Estimates of the FI-DI worst-case expansion variation for each of these three marks were calculated as follows. First, the magnitude of each sensitivity was scaled by a ratio of each parameter s fab control limits vs. DOE windowed amount. Next, the RSS of these scaled sensitivities was used as a worst-case estimate. Figure 3 compares these worst-case estimates for the three mark widths. The best of these marks (1.5um) shows only 13ppm or 1.3nm of worst-case variation; this variation is very small compared to the overlay tolerance for the layer, and appears the best mark choice for the subsequent production lot repeatability study. Worst-Case Exp. Variation (ppm) Exp X Exp Y 1.0um 1.5um 2.0um Fig. 3: DOE FI-DI worst-case expansion variation Additional processing insight was realized by examining the sensitivity of each processing parameter. Longer CMP times were found to cause greater amounts of FI-DI wafer contraction, possibly due to an asymmetric metal gouging between wafer center and edge. Thicker metal and longer metal etches also caused more wafer contraction. Note, however, that these two effects may in fact be intimately related, since thicker metal requires longer times to etch through.

4 3.2 Mark width profile results Four outer mark (prior-level) marks were evaluated: 0.5, 1.0, 1.5 and 2.0µm. AFM profiles of each of these outer marks were collected before and after etch, as shown in Figures 4 a - d. Previous Layer Mark (After ) Previous Layer Mark (After ) Fig. 4a: AFM profiles of 0.5µm outer overlay mark Figure 4b: AFM profiles of 1.0µm outer overlay mark Previous Layer Mark (After ) Previous Layer Mark (After ) Fig. 4c: AFM profiles of 1.5µm outer overlay mark Fig. 4d: AFM profiles of 2.0µm outer overlay mark The 0.5µm mark width was quickly ruled out from evaluation because it was barely visible after metal deposition. AFM profiles of this mark (Figure 2a) show only 2um of topography even before the metal deposition, an order of magnitude less than the other three wider marks in the study. For the remaining three mark widths, it was noted that the mark width shrinks by 0.5um during the metal deposition. This mark width shrinkage had a significant effect on the 1.0um mark (Figure 4b), causing the number of mark edges to change from two (prior to metal deposition) to one (after metal deposition). While the AFM profile traces showed the physical dimension changes in the outer mark(s) during etch, attempts to note center-to-edge differences were unsuccessful and did not offer insight into possible causes of the FI-DI wafer contractions. It is likely that center-to-edge profile differences are much smaller than this technique can resolve.

5 3.3 Localized mark etching results Table 1 summarizes the expansion deltas between photo and etch. Note that any FI-DI expansion effects observed in wafers 2 and 3 are primarily due to inner mark (current layer) shifts during etch. Wafer Outer Mark Process? Outer Mark Time FI - DI X Exp FI - DI Y Exp 1 No (Control) N/A 5 ppm 2 ppm 2 Yes 25s -4 ppm -3 ppm 3 Yes 50s -4 ppm -5 ppm Table 1: FI-DI expansions with localized mark etching It should first be noted that the experimental control (wafer 1) showed the same amount of apparent wafer contraction observed in production (0 to 5ppm). No significant difference can be seen between the contractions of wafers 2 and 3, indicating that increases in the etch time of the outer marks (as is done in the outer mark etch process by performing two etches) does not appear to itself influence wafer contraction. These two points offer confidence to the validity of the experiment. Wafer 1 shows roughly a factor of three more FI-DI contraction than both wafers 2 and 3. The expansion deltas for wafers 2 and 3 (-4ppm) should only be caused from the etching of the inner overlay mark; these inner-mark etching results are much smaller than the inner-plus-outer-mark etching results from wafer 1. Thus, the outer-mark etching process (center-of-gravity shifting) appears to be the main culprit behind the FI-DI contractions. The inner mark shift during etch appears to contribute ~30% of the FI-DI contraction, while the outer mark shift contributes ~70%. It should be noted that a previous study s findings were counter to these results in the previous study, the etching of the inner marks were found to cause ~100% of the FI-DI expansion for the metal layer Production lot measurement results A one-month study of production measurements at photo and etch was done using the 1.5um mark width (chosen in Section 3.1). The distributions of FI-DI expansions from these nine lots are shown to the right in Figure 5. The FI-DI contractions averaged 0ppm and ranged from 3 to 1ppm. No statistical significance was noted when comparing the two devices and two exposure tools used on these nine lots. Fig. 5: Production lot FI-DI expansion distributions (left = X, right = Y) The overlay results from these lots, as measured both at photo and etch, are shown below in Figures 6a & 6b. X Overlay Photo (Uncorrected) Y Overlay Photo (Uncorrected) Fig. 6a: X overlay (uncorrected photo and etch) Fig. 6b: Y overlay (uncorrected photo and etch)

6 Note that for 17 of the 18 overlay comparisons above in Figures 6a & 6b, the photo overlay metric was worse than etch data. The raw photo overlay data was then corrected by adding a ppm expansion to both X and Y and compared to the corresponding etch data, as shown in Figures 7a & 7b. X Overlay Y Overlay Photo (Corrected) Photo (Corrected) Fig. 7a: X overlay (corrected photo and etch) Fig. 7b: Y overlay (corrected photo and etch) Note that the overall agreement between the corrected photo and etch results (Figures 7a,b) is better than the raw photo and etch results (Figures 6a,b). The question can then be asked, Is this corrected-photo / etch agreement good enough? The traditional gauge of a measurement s acceptable error is the precision/tolerance (P/T) ratio. Traditionally, an acceptable amount for the total overlay metrology noise is 0 x spec, although the tolerance for a single overlay tool metrology error is tighter at 0 x spec. The 3 sigma values (precision) of the photo-etch overlay deltas were compared on these nine lots to the overlay spec limit for this layer; these P/T scores were calculated to be 2 and 3 for X and Y respectively. In terms of traditional metrology error limits, these P/T scores are too high (leading to the conclusion that the photo measurements are too noisy and should not be trusted / used in production). However, Cypress was willing in principle to accept and use the photo metrology because of the added ability to rework failures at photo. The photo measurements would need to be used in conjunction with a real-time system capable of adding systematic process corrections to the overlay data prior to overlay metric pass/fail analysis. The one-month study showed relatively consistent wafer contraction (0ppm). The DOE study predicted this consistency, since the worst-case variation values were very small compared to the overlay spec tolerance for the layer. However, a very large shift in expansions was noted over the course of nine months; while the one-month study showed FI-DI contractions of 0ppm, the FI-DI contractions nine months earlier averaged 0ppm. This shift in FI-DI contractions was noted in two Cypress 8 fabs, though the mechanism leading to this long-term shift could not be identified. This large long-term shift (0ppm) was an order of magnitude greater than the worst-case-shift predicted from the DOE study (13ppm), indicating the primary processing knob affecting the long-term FI-DI variability was not captured in the study.

7 4. CONCLUSION Several studies were done to characterize and fix a DI/FI overlay correlation issue. A DOE study was used to determine which overlay mark width was least sensitive to processing parameter variation. AFM profiles of the prior-level overlay marks identified potential measurement issues with mark widths 1.0um or smaller. By performing localized etches on the inner vs. outer marks of the overlay targets, it was noted that the majority of wafer contraction was related to the etching of the outer (prior level) mark. Photo and etch production measurements showed consistent contraction over a onemonth timeframe, but shifted dramatically over a nine-month timeframe, indicating an uncharacterized processing effect. ACKNOWLEDGEMENTS The authors would like to thank Ivan Ivanov for discussions and metal film processing support, Tom Snodgrass for etch processing support, Andrey Zagrebelny for CMP processing support, Helena Stadniychuk for AFM support, and Anat Ronen for overlay measurement support. REFERENCES 1. D. Kim, S. Oh, G. Yeo, Y. Bae, J. Kim, Y. Kim, Proc. SPIE, Vol. 4344, pp , 2001.

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

ABSTRACT (100 WORDS) 1. INTRODUCTION

ABSTRACT (100 WORDS) 1. INTRODUCTION Overlay target selection for 20-nm process on A500 LCM Vidya Ramanathan b, Lokesh Subramany a, Tal Itzkovich c, Karsten Gutjhar a, Patrick Snow a, Chanseob Cho a Lipkong ap b a GLOBALFOUNDRIES 400 Stone

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements 1 Optical Metrology Optical Microscopy What is its place in IC production? What are the limitations and the hopes? The issue of Alignment

More information

Improved scanner matching using Scanner Fleet Manager (SFM)

Improved scanner matching using Scanner Fleet Manager (SFM) Improved scanner matching using Scanner Fleet Manager (SFM) Shian-Huan Cooper Chiu a, Chin-Lung Lee a, Sheng-Hsiung Yu a, Kai-Lin Fu a, Min-Hin Tung a, Po-Chih Chen a ; Chao-Tien Huang b, Chien-Chun Elsie

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Design, Characteristics and Performance of Diamond Pad Conditioners

Design, Characteristics and Performance of Diamond Pad Conditioners Reprinted from Mater. Res. Soc. Symp. Proc. Volume 1249 21 Materials Research Society 1249-E2-4 Design, Characteristics and Performance of Diamond Pad Conditioners Doug Pysher, Brian Goers, John Zabasajja

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection Correlation of Wafer Defects to Photolithography Hot Spots Using Advanced Macro Inspection Alan Carlson* a, Tuan Le* a a Rudolph Technologies, 4900 West 78th Street, Bloomington, MN, USA 55435; Presented

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography Lithography D E F E C T I N S P E C T I O N Taking Sides to Optimize Wafer Surface Uniformity Backside Inspection Applications In Lithography Kay Lederer, Matthias Scholze, Ulrich Strohbach, Infineon Technologies

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Ronny Haupt, Jiang Zhiming, Leander Haensel KLA-Tencor Corporation One Technology Drive, Milpitas 95035, CA Ulf Peter

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015 Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300 Francesca Calderon Miramonte High School August 13th, 2015 1 g-line - 436 nm i-line - 365 nm DUV - 248 nm DUV - 193 nm resolution

More information

nanovea.com PROFILOMETERS 3D Non Contact Metrology

nanovea.com PROFILOMETERS 3D Non Contact Metrology PROFILOMETERS 3D Non Contact Metrology nanovea.com PROFILOMETER INTRO Nanovea 3D Non-Contact Profilometers are designed with leading edge optical pens using superior white light axial chromatism. Nano

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Winter 1997) Resolution Chris A. Mack, FINLE Technologies, Austin, Texas In past editions of this column (Spring and Summer, 1995), we defined quite carefully what

More information

An Evaluation of Artifact Calibration in the 5700A Multifunction Calibrator

An Evaluation of Artifact Calibration in the 5700A Multifunction Calibrator An Evaluation of Artifact Calibration in the 57A Multifunction Calibrator Application Note Artifact Calibration, as implemented in the Fluke Calibration 57A Multifunction Calibrator, was a revolutionary

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Process and Environmental Variation Impacts on ASIC Timing

Process and Environmental Variation Impacts on ASIC Timing Process and Environmental Variation Impacts on ASIC Timing Paul S. Zuchowski, Peter A. Habitz, Jerry D. Hayes, Jeffery H. Oppold IBM Microelectronics Division Essex Junction, Vermont 05452, USA Introduction

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

6.777J/2.372J Design and Fabrication of Microelectromechanical Devices Spring Term Massachusetts Institute of Technology

6.777J/2.372J Design and Fabrication of Microelectromechanical Devices Spring Term Massachusetts Institute of Technology 6.777J/2.372J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 Massachusetts Institute of Technology PROBLEM SET 2 (16 pts) Issued: Lecture 4 Due: Lecture 6 Problem 4.14 (4 pts):

More information

Perpendicular Media - Metrology and Inspection Challenges. Sri Venkataram KLA-Tencor Corporation Sept 19, 2007

Perpendicular Media - Metrology and Inspection Challenges. Sri Venkataram KLA-Tencor Corporation Sept 19, 2007 Perpendicular Media - Metrology and Inspection Challenges Sri Venkataram KLA-Tencor Corporation Sept 19, 2007 Agenda Perpendicular Media Adoption PMR Metrology & Inspection Implementation Solutions Review

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Figure 1 Veeco is driving System on a Chip Technology Frank M. Cumbo, Kurt E. Williams, John

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Nano-structured superconducting single-photon detector

Nano-structured superconducting single-photon detector Nano-structured superconducting single-photon detector G. Gol'tsman *a, A. Korneev a,v. Izbenko a, K. Smirnov a, P. Kouminov a, B. Voronov a, A. Verevkin b, J. Zhang b, A. Pearlman b, W. Slysz b, and R.

More information

AEROSOL JET PRINTING SYSTEM FOR HIGH SPEED, NON-CONTACT FRONT SIDE METALLIZATION OF SILICON SOLAR CELLS

AEROSOL JET PRINTING SYSTEM FOR HIGH SPEED, NON-CONTACT FRONT SIDE METALLIZATION OF SILICON SOLAR CELLS AEROSOL JET PRINTING SYSTEM FOR HIGH SPEED, NON-CONTACT FRONT SIDE METALLIZATION OF SILICON SOLAR CELLS Bruce H. King and Stephen M. Barnes Optomec, Inc. 3911 Singer NE, Albuquerque, NM 87109, US Phone

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Modeling and CAD Challenges for DFY Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Outline Unphysical casing and statistical models Process gradients Gate protect diodes Shallow trench isolation

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

A Study of Slanted-Edge MTF Stability and Repeatability

A Study of Slanted-Edge MTF Stability and Repeatability A Study of Slanted-Edge MTF Stability and Repeatability Jackson K.M. Roland Imatest LLC, 2995 Wilderness Place Suite 103, Boulder, CO, USA ABSTRACT The slanted-edge method of measuring the spatial frequency

More information

Characterization of e-beam induced resist slimming using etched feature measurements.

Characterization of e-beam induced resist slimming using etched feature measurements. Characterization of e-beam induced resist slimming using etched feature measurements. Colin Yates a, Galen Sapp b, Paul Knutrud b a LSI Logic Corporation, 23400 N.E. Glisan Street, Gresham, OR, USA 97030

More information

Line End Shortening, part 2

Line End Shortening, part 2 Tutor31.doc: Version 8/17/00 Line End Shortening, part 2 T h e L i t h o g r a p h y E x p e r t (Fall 2000) Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As discussed in the

More information

Precision. A Vision for. Weaving Innovation. Orthopaedic Instruments Break Tradition. OrthoTecOnline.com PREMIERE ISSUE

Precision. A Vision for. Weaving Innovation. Orthopaedic Instruments Break Tradition. OrthoTecOnline.com PREMIERE ISSUE OrthoTecOnline.com SPRING 2010 VOL. 1 NO. 1 Providing expert insight on orthopaedic technology, development, and manufacturing PREMIERE ISSUE A Vision for Precision Profi le tolerancing for orthopaedic

More information

Overlay Metrology Results on Leading Edge Cu Processes

Overlay Metrology Results on Leading Edge Cu Processes Overlay Metrology Results on Leading Edge Cu Processes Vincent Vachellerie a1, Délia Ristoiu a2, Alain Deleporte a3, Pierre-Olivier Sassoulas a4, Philippe Spinelli a5, Marc Poulingue b6,pascal Fabre b7

More information

Copyright 2000 Society of Photo Instrumentation Engineers.

Copyright 2000 Society of Photo Instrumentation Engineers. Copyright 2000 Society of Photo Instrumentation Engineers. This paper was published in SPIE Proceedings, Volume 4043 and is made available as an electronic reprint with permission of SPIE. One print or

More information

SEMATECH Defect Printability Studies

SEMATECH Defect Printability Studies Accelerating the next technology revolution SEMATECH Defect Printability Studies Il Yong Jang 1, Jenah Harris-Jones 1, Ranganath Teki 1, Vibhu Jindal 1, Frank Goodwin 1 Masaki Satake 2, Ying Li 2, Danping

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Impact of etch factor on characteristic impedance, crosstalk and board density

Impact of etch factor on characteristic impedance, crosstalk and board density IMAPS 2012 - San Diego, California, USA, 45th International Symposium on Microelectronics Impact of etch factor on characteristic impedance, crosstalk and board density Abdelghani Renbi, Arash Risseh,

More information

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Critical Dimension Sample Planning for 300 mm Wafer Fabs 300 S mm P E C I A L Critical Dimension Sample Planning for 300 mm Wafer Fabs Sung Jin Lee, Raman K. Nurani, Ph.D., Viral Hazari, Mike Slessor, KLA-Tencor Corporation, J. George Shanthikumar, Ph.D., UC

More information

Isolator-Free 840-nm Broadband SLEDs for High-Resolution OCT

Isolator-Free 840-nm Broadband SLEDs for High-Resolution OCT Isolator-Free 840-nm Broadband SLEDs for High-Resolution OCT M. Duelk *, V. Laino, P. Navaretti, R. Rezzonico, C. Armistead, C. Vélez EXALOS AG, Wagistrasse 21, CH-8952 Schlieren, Switzerland ABSTRACT

More information

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy Society for Integrated circuit Technology and Applied Research Centre (SITAR), 1640, Doorvaninagar, Bangalore, Karnataka,

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

Viewing Asperity Behavior Under the Wafer. During Chemical Mechanical Polishing

Viewing Asperity Behavior Under the Wafer. During Chemical Mechanical Polishing Viewing Asperity Behavior Under the Wafer During Chemical Mechanical Polishing Caprice Gray, Daniel Apone, Chris Rogers, Vincent P. Manno, Chris Barns, Mansour Moinpour, Sriram Anjur, Ara Philipossian

More information

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Wei-Jhe Tzai a ; Howard Chen a ; Yu-Hao Huang a ; Chun-Chi Yu a ; Ching-Hung Bert Lin b ; Shi-Ming

More information

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE Chih-Yuan Chang and Yi-Min Hsieh and Xuan-Hao Hsu Department of Mold and Die Engineering, National

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

DIY fabrication of microstructures by projection photolithography

DIY fabrication of microstructures by projection photolithography DIY fabrication of microstructures by projection photolithography Andrew Zonenberg Rensselaer Polytechnic Institute 110 8th Street Troy, New York U.S.A. 12180 zonena@cs.rpi.edu April 20, 2011 Abstract

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

(Ar [ Si O Si O] m )n

(Ar [ Si O Si O] m )n The widespread adoption of advanced packaging techniques is primarily driven by electrical device performance and chip form factor considerations. Flip chip packaging is currently growing at a 27% compound

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Optical Proximity Effects, part 2

Optical Proximity Effects, part 2 T h e L i t h o g r a p h y E x p e r t (Summer 1996) Optical Proximity Effects, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of the Lithography Expert, we examined one type

More information

Dimensional Variations in Tire Tread Extrusions Starrett-Bytewise Measurement Systems May 24, 2013 Abstract

Dimensional Variations in Tire Tread Extrusions Starrett-Bytewise Measurement Systems May 24, 2013 Abstract Abstract This study explores variation in the dimensional parameters of tire tread extrusions. The methodology was based on measurement of width and thickness values of treads at two points in the manufacturing

More information

The Design and Realization of Basic nmos Digital Devices

The Design and Realization of Basic nmos Digital Devices Proceedings of The National Conference On Undergraduate Research (NCUR) 2004 Indiana University Purdue University Indianapolis, Indiana April 15-17, 2004 The Design and Realization of Basic nmos Digital

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

Ultra-thin Die Characterization for Stack-die Packaging

Ultra-thin Die Characterization for Stack-die Packaging Ultra-thin Die Characterization for Stack-die Packaging Wei Sun, W.H. Zhu, F.X. Che, C.K. Wang, Anthony Y.S. Sun and H.B. Tan United Test & Assembly Center Ltd (UTAC) Packaging Analysis & Design Center

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

size (the programmed size of the undeformed ball).

size (the programmed size of the undeformed ball). Very Fine Pitch Wire Bonding: Re-Examining Wire, Bonding Tool, and Wire Bonder Interrelationships for Optimum Process Capability Lee Levine, Principal Engineer K&S Packaging Materials 2101 Blair Mill Road,

More information

Specifying and Measuring Nanometer Surface Properties. Alson E. Hatheway

Specifying and Measuring Nanometer Surface Properties. Alson E. Hatheway Specifying and Measuring Nanometer Surface Properties a seminar prepared for the American Society of Mechanical Engineers 93663a.p65(1 Alson E. Hatheway Alson E. Hatheway Inc. 787 West Woodbury Road Unit

More information

Optical Proximity Effects, part 3

Optical Proximity Effects, part 3 T h e L i t h o g r a p h y E x p e r t (Autumn 1996) Optical Proximity Effects, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two editions of the Lithography Expert, we examined

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

A Project Report Submitted to the Faculty of the Graduate School of the University of Minnesota By

A Project Report Submitted to the Faculty of the Graduate School of the University of Minnesota By Observation and Manipulation of Gold Clusters with Scanning Tunneling Microscopy A Project Report Submitted to the Faculty of the Graduate School of the University of Minnesota By Dogukan Deniz In Partial

More information

Static Power and the Importance of Realistic Junction Temperature Analysis

Static Power and the Importance of Realistic Junction Temperature Analysis White Paper: Virtex-4 Family R WP221 (v1.0) March 23, 2005 Static Power and the Importance of Realistic Junction Temperature Analysis By: Matt Klein Total power consumption of a board or system is important;

More information

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers. Copyright 998 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 8 th Annual BACUS Symposium on Photomask Technology and Management SPIE Vol.

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information