26 June 2013 copyright 2013 G450C

Size: px
Start display at page:

Download "26 June 2013 copyright 2013 G450C"

Transcription

1 450 mm Equipment Demonstrations at G450C Statistics Used During Tests of the Semiconductor Industry s Latest Fab Equipment Transition Lorn Christal, G450C Program Manager Demonstration Test Execution 26 June 2013 copyright 2013 G450C

2 Global 450 mm Consortium A public/private program based at SUNY College of Nanoscale Science and Engineering in Albany, NY Driving effective industry 450 mm equipment development: Coordinate test wafer capability supporting development Demonstrate equipment/process performance Improve tools with suppliers to ready for customer operations G450C Members: CNSE / Research Foundation GLOBALFOUNDRIES Intel IBM Samsung TSMC 26 June 2013 copyright 2013 G450C Page 2

3 Wafer Size Transition to 450 mm One Key Factor in Moore s Law Every 10 years (on average), the semiconductor industry transitions to a larger wafer size. At the present time, the transition from 300 mm to 450 mm wafers is beginning June 2013 copyright 2013 G450C Page 3

4 Key Areas of G450C s Mission Requiring Statistical Methods Demonstrate equipment/process performance Improve tools with suppliers to ready for chip maker operations To realize these mission goals, G450C will use standard Demonstration Test Methods (DTM) 26 June 2013 copyright 2013 G450C Page 4

5 DTM Statistics Used Statistical Process Control (SPC) Analysis of Variance (ANOVA) Design of Experiments (DOE) Time/Failure Censored Reliability Tests Bayesian Inference 26 June 2013 copyright 2013 G450C Page 5

6 Demonstration Test Method DTM Origins Intel standard burn-in methodology IBM minienvironment test plan SEMATECH Equipment Qualification Plan I300I (300 mm transition) DTM modified for 450 Consensus member test plans USDOD Military Standards 26 June 2013 copyright 2013 G450C Page 6

7 DTM Topology 26 June 2013 copyright 2013 G450C Page 7

8 DTM Flow Chart Equipment Maturity Assessment Test Plan Test Plan Review Go Gauge Studies Baseline Characterization Decision points for further testing No-Go Mechanical Dry Cycle Passive Data Collection Baseline Review No-Go Demonstration Testing Go Sensitivity Analysis/DOE Marathon Test Final Demonstration Test Report Test Close-Out 26 June 2013 copyright 2013 G450C Page 8

9 Major DTM Components 26 June 2013 copyright 2013 G450C Page 9

10 Maturity Assessment/Test Planning Equipment Maturity Assessment Establishes the Test Regime Test Plan Set Detailed Scope and Goals Test Plan Review Approval? 26 June 2013 copyright 2013 G450C Page 10

11 Maturity Based Test Plans A viable process has four phases of maturity: 1. A measurable result is present. 2. Measurable results are repeatable. 3. A stable process has been demonstrated. 4. The process is optimized. Equipment Maturity Characteristics The four process characteristics are complimented with others to form 25 characteristics for maturity assessment. Doing so enables engineers to determine the appropriate demonstration test level 26 June 2013 copyright 2013 G450C Page 11

12 Determination of Test Level Equipment Maturity determines the amount of testing that should be applied Maturity Level 1 Maturity Level 2 Maturity Level 3 Production Test Level 1 Equipment suppliers validate basic functionality Test Level 2 Consortia Test Plan Gauge Study Test Level 3 Consortia Test Plan Gauge Study Test Level 4 IC Makers Mechanical Dry Cycle Mechanical Dry Cycle Process Characterization Process Characterization Sensitivity Analysis Review Reports Sensitivity Analysis Marathon Test Reports 26 June 2013 copyright 2013 G450C Page 12

13 Goal/Statistical Confidence Scaling G450C will optimize its metric goals for demonstration testing: Optimization (goal scaling) ensures: Appropriate test rigor Individual goal scaling Scaling based on maturity and current performance Initial goals for demonstration testing Modification at a later date Four (4) forms of scaling: Test levels e.g., Test Level 3 vs. Test Level 2 Performance e.g., uniformity goal of 3% vs. 2% Statistical Confidence e.g., 80% vs. 90% Bayesian Inference 26 June 2013 copyright 2013 G450C Page 13

14 Test Planning - continued Confidence Intervals for MTBF Metric Productive Time An example showing MTBF and its associated confidence bounds Data Analysis Fails Lower Confidence Limit (LCL) Point Estimate (PE) Upper Confidence Limit (UCL) MTBF p LCL 200 PE UCL 26 June 2013 copyright 2013 G450C Page 14

15 Bayesian Inference Pre-knowledge Prior data on an equipment s stability or manufacturing performance Can be applied when design commonality exists An engineer s judgment can be used, with qualifications if data supporting pre-knowledge is not available 3 types of pre-knowledge Extensive data e.g., performance data (test log) Some data e.g., engineer s logs Engineering judgment e.g., memory of past performance results 26 June 2013 copyright 2013 G450C Page 15

16 Baseline Characterization Baseline Characterization Gauge Studies Mechanical Dry Cycle Passive Data Collection Stability of the metrology system Stability of the wafer handling system Baseline Review Stability of the process 26 June 2013 copyright 2013 G450C Page 16

17 Baseline Characterization cont. Gauge Study Determines stability and variation present in the metrology system Mechanical Dry Cycle Stability test - mechanical wafer handling system Process gases and chemicals turned off Passive Data Collection (PDC) Stability test to determine process control Normal maintenance, no process adjustments 26 June 2013 copyright 2013 G450C Page 17

18 Demonstration Testing Sensitivity Analysis/DOE Marathon Test Optimizes the process Reliability Test Final Demonstration Test Report Reporting Test Close-Out Completion 26 June 2013 copyright 2013 G450C Page 18

19 Demonstration Testing cont. DOE Optimizes the equipment s process prior to Marathon Testing Desire - minimize process excursions during the Marathon test such that any failures encountered are hoped to be due to equipment design Marathon Test Time/Failure truncated reliability test 26 June 2013 copyright 2013 G450C Page 19

20 Demonstration Testing cont. Characterization Experiments Characterization Experiments explore the process response space to identify: Test strategy Response variables of interest Input factors and range of settings Number of replications to include Experiment restrictions Staffing assignments for specific tasks Analysis plan Decisions from Baseline Characterization Review Optimal equipment settings Three Dimensional Response Surface Two Dimensional Contour Plot The team acquires updates on: Time frame for the experiment (include analysis and documentation) Test cost Sampling plan Metrology system stability 26 June 2013 copyright 2013 G450C Page 20

21 Demonstration Testing cont. Marathon Tests Purpose: Marathon tests measure reliability and throughput Simulates a production environment Costs are significant Run 24 hour/7 days per week Wafers required are determined by information needed from the test Preparation is extensive Objective is to determine equipment performance 26 June 2013 copyright 2013 G450C Page 21

22 Demonstration Testing cont. Web Applications to support Marathon/MDCs Web-based applications for test planning, data collection and analysis: RAMCalc Reliability, Availability, and Maintainability (RAM) Calculator for Marathon Test data collection RELKit Reliability toolkit for MDC and Marathon test planning/analysis WaferCalc Marathon test planning calculator to calculate the amount of mechanical and process grade required for a Marathon test 26 June 2013 copyright 2013 G450C Page 22

23 Demonstration Testing cont. Reliability Toolkit Example 26 June 2013 copyright 2013 G450C Page 23

24 Demonstration Testing cont. RAMCalc Example 26 June 2013 copyright 2013 G450C Page 24

25 Statistical Challenges Learning the DTM Learning statistical software Application of Bayesian priors to stability tests Mentoring of process engineers Sea of data 26 June 2013 copyright 2013 G450C Page 25

26 Summary The 450 DTM is useful for characterizing new or existing tools, conversions, or line requalifications. The methodology applies to any type of manufacturing characterization at any phase of the development cycle for equipment or processes. The methodology addresses definitions and requirements, but cannot replace sound management techniques nor engineering experience. Again, the document is not a process or hardware development procedure. 26 June 2013 copyright 2013 G450C Page 26

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline The Road Ahead for Nano-Fabrication

More information

The SEMATECH Model: Potential Applications to PV

The SEMATECH Model: Potential Applications to PV Continually cited as the model for a successful industry/government consortium Accelerating the next technology revolution The SEMATECH Model: Potential Applications to PV Dr. Michael R. Polcari President

More information

Economic Impact of the Albany Cluster. Kenneth Adams President & CEO, Commissioner Empire State Development

Economic Impact of the Albany Cluster. Kenneth Adams President & CEO, Commissioner Empire State Development Economic Impact of the Albany Cluster Kenneth Adams President & CEO, Commissioner Empire State Development Governor Andrew M. Cuomo Lt. Governor Robert J. Duffy In 2010, industry shipped over $110 billion

More information

ISMI Industry Productivity Driver

ISMI Industry Productivity Driver SEMATECH Symposium Japan September 15, 2010 Accelerating Manufacturing Productivity ISMI Industry Productivity Driver Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

ISMI 450mm Transition Program

ISMI 450mm Transition Program SEMATECH Symposium Japan September 15, 2010 Accelerating Manufacturing Productivity ISMI 450mm Transition Program Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

In-Line-Test of Variability and Bit-Error-Rate of HfO x -Based Resistive Memory

In-Line-Test of Variability and Bit-Error-Rate of HfO x -Based Resistive Memory This manuscript is the accepted version of the following IEEE conference paper: Ji, B.L.; Li, H.; Ye, Q.; Gausepohl, S.; Deora, S.; Veksler, D.; Vivekanand, S.; Chong, H.; Stamper, H.; Burroughs, T.; Johnson,

More information

Bayesian Reliability Testing for New Generation Semiconductor Processing Equipment Paul Tobias and Michael Pore

Bayesian Reliability Testing for New Generation Semiconductor Processing Equipment Paul Tobias and Michael Pore Bayesian Reliability Testing for New Generation Semiconductor Processing Equipment Paul Tobias and Michael Pore CONTENTS A. Review of Classical Approach for Planning an Equipment Reliability Qualification

More information

Introduction to Statistical Process Control. Managing Variation over Time

Introduction to Statistical Process Control. Managing Variation over Time EE9H F3 Introduction to Statistical Process Control The assignable cause. The Control Chart. Statistical basis of the control chart. Control limits, false and true alarms and the operating characteristic

More information

ISMI 450mm Transition Program

ISMI 450mm Transition Program SEMATECH Symposium Taiwan September 7, 2010 Accelerating Manufacturing Productivity ISMI 450mm Transition Program Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Critical Dimension Sample Planning for 300 mm Wafer Fabs 300 S mm P E C I A L Critical Dimension Sample Planning for 300 mm Wafer Fabs Sung Jin Lee, Raman K. Nurani, Ph.D., Viral Hazari, Mike Slessor, KLA-Tencor Corporation, J. George Shanthikumar, Ph.D., UC

More information

A Quick Guide to Understanding the Impact of Test Time on Estimation of Mean Time Between Failure (MTBF)

A Quick Guide to Understanding the Impact of Test Time on Estimation of Mean Time Between Failure (MTBF) A Quick Guide to Understanding the Impact of Test Time on Estimation of Mean Time Between Failure (MTBF) Authored by: Lenny Truett, Ph.D. STAT T&E COE The goal of the STAT T&E COE is to assist in developing

More information

Advanced Engineering Statistics. Jay Liu Dept. Chemical Engineering PKNU

Advanced Engineering Statistics. Jay Liu Dept. Chemical Engineering PKNU Advanced Engineering Statistics Jay Liu Dept. Chemical Engineering PKNU Statistical Process Control (A.K.A Process Monitoring) What we will cover Reading: Textbook Ch.? ~? 2012-06-27 Adv. Eng. Stat., Jay

More information

Accelerating the next technology revolution

Accelerating the next technology revolution 1 9 8 7 2 0 0 7 EDITION TWELVE - NOVEMBER 2011 report Accelerating the next technology revolution Inside this issue: Realizing the 450mm Transition SEMATECH s October Triple Play Asia Symposium Showcases

More information

SUNY Poly in a New Era

SUNY Poly in a New Era SUNY Poly in a New Era Bahgat Sammakia Interim President, SUNY Polytechnic Institute SUNY Poly in a New Era Overview SUNY Poly is recognized as a global leader in advanced electronics Research and Development,

More information

Growing the Semiconductor Industry in New York: Challenges and Opportunities

Growing the Semiconductor Industry in New York: Challenges and Opportunities Accelerating the next technology revolution The SEMATECH New York Experience Growing the Semiconductor Industry in New York: Challenges and Opportunities Dan Armbrust President and CEO, SEMATECH April

More information

Assessing Measurement System Variation

Assessing Measurement System Variation Example 1 Fuel Injector Nozzle Diameters Problem A manufacturer of fuel injector nozzles has installed a new digital measuring system. Investigators want to determine how well the new system measures the

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Ronny Haupt, Jiang Zhiming, Leander Haensel KLA-Tencor Corporation One Technology Drive, Milpitas 95035, CA Ulf Peter

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Assessing Measurement System Variation

Assessing Measurement System Variation Assessing Measurement System Variation Example 1: Fuel Injector Nozzle Diameters Problem A manufacturer of fuel injector nozzles installs a new digital measuring system. Investigators want to determine

More information

Research Consortia as Knowledge Brokers: Insights from Sematech

Research Consortia as Knowledge Brokers: Insights from Sematech Research Consortia as Knowledge Brokers: Insights from Sematech Arvids A. Ziedonis Boston University and Harvard University Rosemarie Ziedonis Boston University and NBER Innovation and Entrepreneurship

More information

DARPA TRUST in IC s Effort. Dr. Dean Collins Deputy Director, MTO 7 March 2007

DARPA TRUST in IC s Effort. Dr. Dean Collins Deputy Director, MTO 7 March 2007 DARPA TRUST in IC s Effort Dr. Dean Collins Deputy Director, MTO 7 March 27 Report Documentation Page Form Approved OMB No. 74-88 Public reporting burden for the collection of information is estimated

More information

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1 A Presentation to the National Academies July 29, 2009 Larry W. Sumney President/CEO Semiconductor Research Corporation1 What is SRC? World s leading consortium funding collaborative university research

More information

International SEMATECH Wafer Probe Benchmarking Project WAFER PROBE ROADMAP. Guidance For Wafer Probe R&D Resources Edition

International SEMATECH Wafer Probe Benchmarking Project WAFER PROBE ROADMAP. Guidance For Wafer Probe R&D Resources Edition International SEMATECH Wafer Probe Benchmarking Project WAFER PROBE ROADMAP Guidance For Wafer Probe R&D Resources 2002 Edition Fred Taber, IBM Microelectronics Probe Project Chair Gavin Gibson, Infineon

More information

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe Reliable Electronics? Precise Current Measurements May Tell You Otherwise Hans Manhaeve Overview Reliable Electronics Precise current measurements? Accurate - Accuracy Resolution Repeatability Understanding

More information

CMOS Test and Evaluation

CMOS Test and Evaluation CMOS Test and Evaluation Manjul Bhushan Mark B. Ketchen CMOS Test and Evaluation A Physical Perspective Manjul Bhushan OctEval Hopewell Junction, NY, USA Mark B. Ketchen OcteVue Hadley, MA, USA ISBN 978-1-4939-1348-0

More information

Operations Management

Operations Management 10-1 Quality Control Operations Management William J. Stevenson 8 th edition 10-2 Quality Control CHAPTER 10 Quality Control McGraw-Hill/Irwin Operations Management, Eighth Edition, by William J. Stevenson

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Historical Background Recent advances in Very Large Scale Integration (VLSI) technologies have made possible the realization of complete systems on a single chip. Since complete

More information

Preface... Acknowledgments... Glossary Introduction Management Systems and the Management of Chemical Process Safety...

Preface... Acknowledgments... Glossary Introduction Management Systems and the Management of Chemical Process Safety... Preface... Acknowledgments... Glossary... xi xiii xv 1. Introduction... 1 1.1 Process Safety Management Activities of the Center for Chemical Process Safety (CCPS)... 1 1.2 Definition of "Process Safety

More information

Statistical Process Control and Computer Integrated Manufacturing. The Equipment Controller

Statistical Process Control and Computer Integrated Manufacturing. The Equipment Controller Statistical Process Control and Computer Integrated Manufacturing Run to Run Control, Real-Time SPC, Computer Integrated Manufacturing. 1 The Equipment Controller Today, the operation of individual pieces

More information

I STATISTICAL TOOLS IN SIX SIGMA DMAIC PROCESS WITH MINITAB APPLICATIONS

I STATISTICAL TOOLS IN SIX SIGMA DMAIC PROCESS WITH MINITAB APPLICATIONS Six Sigma Quality Concepts & Cases- Volume I STATISTICAL TOOLS IN SIX SIGMA DMAIC PROCESS WITH MINITAB APPLICATIONS Chapter 7 Measurement System Analysis Gage Repeatability & Reproducibility (Gage R&R)

More information

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09 Study Number MA108-09 August 2009 Copyright Semico Research, 2009. All rights reserved. Reproduction in whole or part is prohibited without permission of Semico. The contents of this report represent

More information

COST-BASED LAUNCH OPPORTUNITY SELECTION APPLIED TO RENDEZVOUS WITH APOPHIS

COST-BASED LAUNCH OPPORTUNITY SELECTION APPLIED TO RENDEZVOUS WITH APOPHIS COST-BASED LAUNCH OPPORTUNITY SELECTION APPLIED TO RENDEZVOUS WITH 99942 APOPHIS INTRODUCTION Jonathan S. Townley *, Jonathan L. Sharma *, and Jarret M. Lafleur * Georgia Institute of Technology, Atlanta,

More information

Real time plasma etch control by means of physical plasma parameters with HERCULES

Real time plasma etch control by means of physical plasma parameters with HERCULES Real time plasma etch control by means of physical plasma parameters with HERCULES A. Steinbach 1) S. Bernhard 1) M. Sussiek 4) S. Wurm 2) Ch. Koelbl 3) D. Knobloch 1) Siemens, Dresden Siemens at International

More information

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy Society for Integrated circuit Technology and Applied Research Centre (SITAR), 1640, Doorvaninagar, Bangalore, Karnataka,

More information

SEMI E SPECIFICATION FOR DEFINITION AND MEASUREMENT OF EQUIPMENT RELIABILITY, AVAILABILITY, AND MAINTAINABILITY (RAM)

SEMI E SPECIFICATION FOR DEFINITION AND MEASUREMENT OF EQUIPMENT RELIABILITY, AVAILABILITY, AND MAINTAINABILITY (RAM) SEMI E10-0301 SPECIFICATION FOR DEFINITION AND MEASUREMENT OF EQUIPMENT RELIABILITY, AVAILABILITY, AND MAINTAINABILITY (RAM) This standard was technically approved by the Global Metrics Committee and is

More information

micro-gloss The new intelligence in gloss measurement Brilliant color display: easy to read - easy to use Auto diagnosis: Standard OK - Calibration OK

micro-gloss The new intelligence in gloss measurement Brilliant color display: easy to read - easy to use Auto diagnosis: Standard OK - Calibration OK micro-gloss The new intelligence in gloss measurement The micro-gloss has been the unsurpassed industry standard in gloss measurement for many years. It is the only glossmeter combining the highest accuracy,

More information

I STATISTICAL TOOLS IN SIX SIGMA DMAIC PROCESS WITH MINITAB APPLICATIONS

I STATISTICAL TOOLS IN SIX SIGMA DMAIC PROCESS WITH MINITAB APPLICATIONS Six Sigma Quality Concepts & Cases- Volume I STATISTICAL TOOLS IN SIX SIGMA DMAIC PROCESS WITH MINITAB APPLICATIONS Chapter 7 Measurement System Analysis Gage Repeatability & Reproducibility (Gage R&R)

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Semiconductor Industry Perspective

Semiconductor Industry Perspective Semiconductor Industry Perspective National Academy of Engineering Workshop on the Offshoring of Engineering Washington, D.C. October 25, 2006 Dr. Robert Doering Texas Instruments, Inc. A Few Introductory

More information

Post-CMP Clean PVA Brush Advancements and Characterization in Cu/Low-K Application

Post-CMP Clean PVA Brush Advancements and Characterization in Cu/Low-K Application MICROCONTAMINATION CONTROL APPLICATION NOTE Post-CMP Clean PVA Brush Advancements and Characterization in Cu/Low-K Application Authors: Rakesh K. Singh, Christopher R. Wargo, David W. Stockbower The stable

More information

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography Scaling of Semiconductor Integrated Circuits and EUV Lithography ( 半導体集積回路の微細化と EUV リソグラフィー ) December 13, 2016 EIDEC (Emerging nano process Infrastructure Development Center, Inc.) Hidemi Ishiuchi 1 OUTLINE

More information

A New Era in Nanotechnology Research: The Industry-University-Government Cooperative Model

A New Era in Nanotechnology Research: The Industry-University-Government Cooperative Model A New Era in Nanotechnology Research: The Industry-University-Government Cooperative Model May 18, 2010 AVP Business Development, Alliances and Consortia Professor of Nanoengineering IBM Distinguished

More information

Identifying Best-Value Technologies Using Analogy-Based Cost Estimating Methods and Tools

Identifying Best-Value Technologies Using Analogy-Based Cost Estimating Methods and Tools Identifying Best-Value Technologies Using Analogy-Based Cost Estimating Methods and Tools International Society of Parametric Analysts (ISPA) Society of Cost Estimating and Analysis (SCEA) Joint Annual

More information

Module 5. Simple Linear Regression and Calibration. Prof. Stephen B. Vardeman Statistics and IMSE Iowa State University.

Module 5. Simple Linear Regression and Calibration. Prof. Stephen B. Vardeman Statistics and IMSE Iowa State University. Module 5 Simple Linear Regression and Calibration Prof. Stephen B. Vardeman Statistics and IMSE Iowa State University March 4, 2008 Steve Vardeman (ISU) Module 5 March 4, 2008 1 / 14 Calibration of a Measurement

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

Outline Process Control. Variation: Common and Special Causes. What is quality? Common and Special Causes (cont d)

Outline Process Control. Variation: Common and Special Causes. What is quality? Common and Special Causes (cont d) . Process Control Outline. Optimization. Statistical Process Control 3. In-Process Control What is quality? Variation: Common and Special Causes Pieces vary from each other: But they form a pattern that,

More information

Mason Chen (Black Belt) Morrill Learning Center, San Jose, CA

Mason Chen (Black Belt) Morrill Learning Center, San Jose, CA Poster ID 12 Google Robot Mason Chen (Black Belt) Morrill Learning Center, San Jose, CA D1 Observations and Research Google Cars stop at the red light and speed up at green light how & why Google Car can

More information

ANALYZE. Lean Six Sigma Black Belt. Chapter 2-3. Short Run SPC Institute of Industrial Engineers 2-3-1

ANALYZE. Lean Six Sigma Black Belt. Chapter 2-3. Short Run SPC Institute of Industrial Engineers 2-3-1 Chapter 2-3 Short Run SPC 2-3-1 Consider the Following Low production quantity One process produces many different items Different operators use the same equipment These are all what we refer to as short

More information

2010 IRI Annual Meeting R&D in Transition

2010 IRI Annual Meeting R&D in Transition 2010 IRI Annual Meeting R&D in Transition U.S. Semiconductor R&D in Transition Dr. Peter J. Zdebel Senior VP and CTO ON Semiconductor May 4, 2010 Some Semiconductor Industry Facts Founded in the U.S. approximately

More information

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven Public Introduction to ASML Ron Kool SVP Corporate Strategy and Marketing March-2015 Veldhoven 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

More information

AUTOMATED AND QUANTITATIVE METHOD FOR QUALITY ASSURANCE OF DIGITAL RADIOGRAPHY IMAGING SYSTEMS

AUTOMATED AND QUANTITATIVE METHOD FOR QUALITY ASSURANCE OF DIGITAL RADIOGRAPHY IMAGING SYSTEMS International Workshop SMART MATERIALS, STRUCTURES & NDT in AEROSPACE Conference NDT in Canada 2011 2-4 November 2011, Montreal, Quebec, Canada AUTOMATED AND QUANTITATIVE METHOD FOR QUALITY ASSURANCE OF

More information

New Technology Insertion in Military and Space Standards

New Technology Insertion in Military and Space Standards New Technology Insertion in Military and Space Standards at SAE SSTC-G12 and JEDEC JC-13 Anduin E. Touw Technical Fellow Boeing Space & Intelligence Systems anduin.e.touw@boeing.com Introduction In order

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

IE 361 Module 7. Reading: Section 2.5 of Revised SQAME. Prof. Steve Vardeman and Prof. Max Morris. Iowa State University

IE 361 Module 7. Reading: Section 2.5 of Revised SQAME. Prof. Steve Vardeman and Prof. Max Morris. Iowa State University IE 361 Module 7 Calibration Studies and Inference Based on Simple Linear Regression Reading: Section 2.5 of Revised SQAME Prof. Steve Vardeman and Prof. Max Morris Iowa State University Vardeman and Morris

More information

Common Development Topics for Semiconductor Manufacturers and their Suppliers in Germany

Common Development Topics for Semiconductor Manufacturers and their Suppliers in Germany Common Development Topics for Semiconductor Manufacturers and their Suppliers in Germany SEMICON Europa 2013 TechARENA 1: Secondary Equipment Session Contact: Dr.-Ing. Martin Schellenberger, Fraunhofer

More information

Measurement Systems Analysis

Measurement Systems Analysis 11 Measurement Systems Analysis Measurement Systems Analysis Overview, 11-2, 11-4 Gage Run Chart, 11-23 Gage Linearity and Accuracy Study, 11-27 MINITAB User s Guide 2 11-1 Chapter 11 Measurement Systems

More information

W ith development risk fully borne by the equipment industry and a two-year delay in the main

W ith development risk fully borne by the equipment industry and a two-year delay in the main Page 1 of 5 Economic Challenges and Opportunities in the 300 mm Transition Iddo Hadar, Jaim Nulman, Kunio Achiwa, and Oded Turbahn, Applied Materials Inc. -- 10/1/1998 Semiconductor International W ith

More information

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM Technology Transfers Opportunities, Process and Risk Mitigation Radhika Srinivasan, Ph.D. IBM Abstract Technology Transfer is quintessential to any technology installation or semiconductor fab bring up.

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

Space Launch System Design: A Statistical Engineering Case Study

Space Launch System Design: A Statistical Engineering Case Study Space Launch System Design: A Statistical Engineering Case Study Peter A. Parker, Ph.D., P.E. peter.a.parker@nasa.gov National Aeronautics and Space Administration Langley Research Center Hampton, Virginia,

More information

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report 2018-19 Photoresists & Ancillaries Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report Prepared by Ed Korczynski Reviewed and Edited by Lita Shon-Roy TECHCET CA LLC PO Box 3814

More information

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning Semicon West 2016 Acknowledgements o Stephen Tobin o Samsung Austin Semiconductor, Machine Learning o Jason Malik o Samsung Austin Semiconductor, Metrology o Dr. Dragan Djurdjanovic o University of Texas,

More information

Why Design for Testability Sooner? 21 October 2008 Bruce Bardell, Technical Fellow Bradley Chief Architect BAE Systems

Why Design for Testability Sooner? 21 October 2008 Bruce Bardell, Technical Fellow Bradley Chief Architect BAE Systems Why Design for Testability Sooner? 21 October 2008 Bruce Bardell, Technical Fellow Bradley Chief Architect BAE Systems 2008, BAE Systems Land & Armaments L.P. All Rights Reserved 1 Agenda Ground Combat

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Paolo A. Gargini Director Technology Strategy Intel Fellow 1 Agenda 2-year cycle Copy Exactly Conclusions 2 I see no reason

More information

Timothy S. Cale, Ph.D ,

Timothy S. Cale, Ph.D , Timothy S. Cale, Ph.D. timothy.cale@gmail.com, 480-381-2228, www.process-evolution.com Objective Provide software tools and consulting services that improve materials and processes. Summary I have extensive

More information

Beyond Moore the challenge for Europe

Beyond Moore the challenge for Europe Beyond Moore the challenge for Europe Dr. Alfred J. van Roosmalen Vice-President Business Development, NXP Semiconductors Company member of MEDEA+/CATRENE/AENEAS/Point-One FIT-IT 08 Spring Research Wien,

More information

IE 361 Module 4. Metrology Applications of Some Intermediate Statistical Methods for Separating Components of Variation

IE 361 Module 4. Metrology Applications of Some Intermediate Statistical Methods for Separating Components of Variation IE 361 Module 4 Metrology Applications of Some Intermediate Statistical Methods for Separating Components of Variation Reading: Section 2.2 Statistical Quality Assurance for Engineers (Section 2.3 of Revised

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

Research & Development in Delaware. Delaware. Olivier Letessier, VP R&D Air Liquide Henri Chevrel, VP R&D Americas Air Liquide THIS DOCUMENT IS PUBLIC

Research & Development in Delaware. Delaware. Olivier Letessier, VP R&D Air Liquide Henri Chevrel, VP R&D Americas Air Liquide THIS DOCUMENT IS PUBLIC Research & Development in Delaware Olivier Letessier, VP R&D Air Liquide Henri Chevrel, VP R&D Americas Air Liquide THIS DOCUMENT IS PUBLIC I AIR LIQUIDE, LE LEADER MONDIAL DES GAZ, TECHNOLOGIES ET SERVICES

More information

Overlay accuracy a metal layer study

Overlay accuracy a metal layer study Overlay accuracy a metal layer study Andrew Habermas 1, Brad Ferguson 1, Joel Seligson 2, Elyakim Kassel 2, Pavel Izikson 2 1 Cypress Semiconductor, 2401 East 86 th St, Bloomington, MN 55425, USA 2 KLA-Tencor,

More information

Beyond Immersion Patterning Enablers for the Next Decade

Beyond Immersion Patterning Enablers for the Next Decade Beyond Immersion Patterning Enablers for the Next Decade Colin Brodsky Manager and Senior Technical Staff Member Patterning Process Development IBM Semiconductor Research & Development Center Hopewell

More information

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE Tianniu Rick Chen, Ph.D. General Manager SP&C Business (Surface Preparation & Cleans) OUTLINE Market drivers and challenges

More information

INTERNATIONAL STANDARD

INTERNATIONAL STANDARD INTERNATIONAL STANDARD IEC 62539 First edition 2007-07 IEEE 930 Guide for the statistical analysis of electrical insulation breakdown data Commission Electrotechnique Internationale International Electrotechnical

More information

Measurement Systems Analysis

Measurement Systems Analysis Measurement Systems Analysis Measurement Systems Analysis (MSA) Reference Manual, AIAG, 1995. (www.aiag.org) Copyright, Pat Hammett, University of Michigan. All Rights Reserved. 1 Topics I. Components

More information

NOV 18 Rev B

NOV 18 Rev B Product Specification 14 NOV 18 Rev B Mini-Circular Plastic Connector (CPC) 1. SCOPE 1.1. Content This specification covers performance, tests and quality requirements for the mini-cpc connector system,

More information

ESA400 Electrochemical Signal Analyzer

ESA400 Electrochemical Signal Analyzer ESA4 Electrochemical Signal Analyzer Electrochemical noise, the current and voltage signals arising from freely corroding electrochemical systems, has been studied for over years. Despite this experience,

More information

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative 5 th Annual ebeam Initiative Luncheon SPIE February 26, 2013 Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative ebeam Writes All Chips The ebeam Initiative: Is an educational platform

More information

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978) IC Knowledge LLC, PO Box 20, Georgetown, MA 01833 www.icknowledge.com Ph: (978) 352 7610, Fx: (978) 352 3870 Linx Consulting, PO Box 384, Mendon, MA 01756 0384 www.linxconsulting.com Ph: (617) 273 8837

More information

Dimensional Variations in Tire Tread Extrusions Starrett-Bytewise Measurement Systems May 24, 2013 Abstract

Dimensional Variations in Tire Tread Extrusions Starrett-Bytewise Measurement Systems May 24, 2013 Abstract Abstract This study explores variation in the dimensional parameters of tire tread extrusions. The methodology was based on measurement of width and thickness values of treads at two points in the manufacturing

More information

Wafer Level Reliability Test Application

Wafer Level Reliability Test Application Wafer Level Reliability Test Application Agenda Introduction ProChek & Test Structures ProChek WLR Application ProChek Test Considerations & Test Results ProChek Plus Summary Q&A. 2 Why ProChek Obtaining

More information

Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions

Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions Lies, Damned Lies and Hardware Verification Mike Bartley, Test and Verification Solutions mike@tandvsolns.co.uk Myth 1: Half of all chip developments require a re-spin, three quarters due to functional

More information

TRUSTED STATE-OF-THE-ART FOUNDRY ACCESS

TRUSTED STATE-OF-THE-ART FOUNDRY ACCESS TRUSTED STATE-OF-THE-ART FOUNDRY ACCESS Impact Analysis, Assessment, and Strategy Report OCTOBER 2018 B POTOMAC INSTITUTE FOR POLICY STUDIES G POTOMAC INSTITUTE FOR POLICY STUDIES Trusted State-of-the-Art

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

Revision: Jan 29, E Main Suite D Pullman, WA (509) Voice and Fax

Revision: Jan 29, E Main Suite D Pullman, WA (509) Voice and Fax Revision: Jan 29, 2011 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The purpose of this lab assignment is to provide users with an introduction to some of the equipment which

More information

CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013

CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013 CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013 Outline Where have we been? Semiconductor Industry Birth

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

Limitations and Challenges to Meet Moore's Law

Limitations and Challenges to Meet Moore's Law Limitations and Challenges to Meet Moore's Law Sept 10, 2015 Sung Kim sung_kim@amat.com State of the art: cleanroom toolsets metrology analysis module development test & reliability Introduction Why do

More information

This is a preview - click here to buy the full publication

This is a preview - click here to buy the full publication TECHNICAL REPORT IEC/TR 62794 Edition 1.0 2012-11 colour inside Industrial-process measurement, control and automation Reference model for representation of production facilities (digital factory) INTERNATIONAL

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

Assignment 8 Sampling, SPC and Control chart

Assignment 8 Sampling, SPC and Control chart Instructions: Assignment 8 Sampling, SPC and Control chart 1. Total No. of Questions: 25. Each question carries one point. 2. All questions are objective type. Only one answer is correct per numbered item.

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Control of Manufacturing Processes. Spring 2004 Lecture #1 Introduction

Control of Manufacturing Processes. Spring 2004 Lecture #1 Introduction Control of Manufacturing Processes Subject Subject 2.830 2.830 6303 Spring 2004 Lecture #1 Introduction February 3, 2004 Background Pre-requisites requisites Your Background and Interests Relevant Experience

More information

The MIT Communications Technology Roadmap Program

The MIT Communications Technology Roadmap Program The MIT Communications Technology Roadmap Program Silicon Platform Technical Working Group John Yasaitis & Mike Morse MIT Microphotonics Industry Consortium Goal & Scope of the TWG The goal of this working

More information

research in the fields of nanoelectronics

research in the fields of nanoelectronics FRAUNHOFEr center Nanoelectronic Technologies research in the fields of nanoelectronics 1 contents Fraunhofer CNT in Profile 3 Competence Areas Analytics 4 Functional Electronic Materials 5 Device & Integration

More information

Advancing Industry Productivity

Advancing Industry Productivity Advancing Industry Productivity Iddo Hadar Joint Productivity Working Group Session Austin, Texas Thursday, October 12, 2006 F O U N D A T I O N E N G I N E E R I N G G R O U P Safe Harbor Statement This

More information