G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

Size: px
Start display at page:

Download "G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research"

Transcription

1 Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline

2 The Road Ahead for Nano-Fabrication Technology More than Moore: Diversification Wireless Integrated Passives Anal./Digit. Conversion HV Power Sensors Actuators Biochips fluidics Optoelec. Integration More Moore: Miniaturization Baseline CMOS: CPU, Memory, Logic 130nm 90nm 65nm 45nm 32nm 22nm Information Processing Digital content System-on-chip (SoC) Interacting with people and environment Non-digital content System-in-package (SiP) Combining SoC and SiP: Higher Value Systems Leading-Edge Designs drive High Cost and High Volume Hugh Demand in Smart Devices drives Utilization of Older Nodes Fewer will sit in the Front of the Scaling Bus Sources: International Business Strategies; GLOBALFOUNDRIES Heterogeneous Integration using 3D Technology Source: 2005 ITRS, Sematech, ITPC 2011

3 Industry consolidation will continue as all 450mm participants exploit leading-edge technologies, therefore wafer size and scaling challenges will be hard to discern Bigger, yet smaller and more precise Fewer public and private playgrounds for: Developing new materials, processes and equipment Developing technology integration Optimizing manufacturing including resource conservation Cost and financial pressures create need for further pre-competitive collaboration With permission: D.Armbrust, Sematech, R.Bruck, Intel, ITPC

4 Full complement of state-of-the-art 300mm tools. Used for 1xnm node development. Compatible with previous generations. Capacity of ~30 integrated wafer starts per day. 24/7 operation. Staffed with professional engineering and faculty. G450C College of Nanoscale Science and Engineering

5 Announcement on September 27, 2011 $4.8 billion investment, most of it private $400 million coming from NYS R&D in Albany, Canandaigua, Utica, East Fishkill and Yorktown Heights. Intel intends to establish its East Coast headquarters in Albany to manage 450mm development. 2,500 new high-tech jobs, including: 800 at the CNSE 400 in Utica 1,500 construction jobs in Albany

6 Goal: Establish New York State / CNSE as the leader for 450 mm Development Define the key elements of the Global 450mm Consortium (G450C) 1.Near term (5-years) Establish a program to develop Test Wafer fabrication infrastructure, equipment prototypes & high-volume tools to enable industry transition to 450mm. 2.Long term Equipment set capable of advanced semiconductor process module development installed and operational at CNSE. Establish, staff, and support a follow on process technology development program.

7 G450C Vision A public-private partnership program to develop a cost-effective test wafer fabrication infrastructure, equipment prototypes & high-volume tools to enable a coordinated industry transition to 450mm wafers, located primarily at CNSE. Use of the capability established at CNSE for joint development activities and support of a comprehensive industry ecosystem. A partnership of New York State (CNSE), Intel, TSMC, Samsung, IBM and Globalfoundries

8 G450C Program Management GM and Coordinator CNSE Industry / Strategy GM Intel Internal / OPS GM TSMC 450mm Integration Manager Program Coordination Manager Engineering Manger Photo/Etch Engineering Manger CMP/thermal/cleans Engineering Manger CVD/PVD/Implant 450mm Fab OPS Managers (2) TBD TBD TBD TBD TBD TBD Industry consortium coordinated by not-for-profit entity Leveraging New York State funding, matched by all industry participants Could be the template for interactions with equipment suppliers / SEMI

9 G450C Mission G450C as an umbrella initiative Assure smooth and coordinated 300mm to 450mm wafer transition Significant benefit to the industry and the State of New York. New York state-of-the-art cleanroom and associated infrastructure. Collective investments of G450C members, State of New York, Original equipment manufacturers, Tool, material, and chemical suppliers, and Facilities and infrastructure contractors. Assemble a critical mass of intellectual and physical assets.

10 G450C Overview New York/CNSE based consortium. Headquarter and core operations in NY. CNSE, Intel, Samsung, TSMC, GlobalFoundries, and IBM. Consortium to collaboratively work with suppliers Develop and test 450 mm equipment Wafers, equipment, people, and fab space will be put in place. Consortium will have a complete fab tool set at CNSE. Consortium will work with suppliers and other associations / consortia to develop standards and support the development of common equipment building blocks.

11 G450C Objectives Enable supplier development. Support tool demonstrations. Establish wafer support operations. Enable innovation and do not slow scaling. Demonstrate a full 450 mm fab tool set. Enable OEMs and tool makers to transition to 450mm in a highly coordinated fashion under a financially (New York) leveraged business strategy.

12 NFX New CNSE Cleanroom >25,000 ft 2 in NFX and NFN NFX RFE in 4Q12 12

13 Expand Test Wafer operations to accelerate and support Supplier development and to support tool demonstrations Demonstrate a full 450 mm tool set with ~ 2 Suppliers for each of ~ 50 tool types (~100 demos) by 2014 / 2015 Current status: RFQ sent, responses due in April Projected Timeline Launch G450C Imprint Litho capability 193i EUV TBD (Phase 1) Expand Test Wafer Operations Demonstrate Full 450 mm Tool Set Late Tools? Objectives Beyond TW/Demo: Define Further Program Scope (Phase 2) Full flow 450 mm Tool Set and CNSE

14 Development and Technology Intercept Targets for 450 mm Early 450 mm Development Consortium Programs Early Development of Silicon and factory integration / automation standards, interoperability test beds for component and standards verification; early tool development 450 mm Test Wafer Generation supports tool development and demonstrations Test Wafer Generation Equipment Demonstrations Tool Demonstrations moved from to Tools for Consortium Demonstrations unit process capable (no full-flow) Technology Synchronization Points (ITRS DRAM stagger-contacted Metal 1 half-pitch) ISMI 32/22 nm Equipment Performance Metrics 450 mm and 300 mm tools progress synchronously through technology generations Process and metrology modules evolve on systems extending several generations 14 nm Nominal 1X nm Range for G450C Demonstrations 10 nm and beyond Target for IC Maker Pilot Line Tools Full (flow) set of production process and metrology tools + automation systems capable of meeting technology targets Detailed technology goals will be defined by individual company business requirements

15 450-mm Lithography Tool Alignment G450C Aligned Schedule 1. Prototype tool*, 193i (G450C) Beta tool, 193 i/dry Beta tool, EUV Early production 193i/dry Early production EUV Production 193i/dry Production EUV 2017 G450C * prototype tool: 14 nm patterning capability, (relax WPH and overlay ) 450mm tool development will strategically align with 300mm EUV schedule to ensure both programs success.

16 G450C Summary Unique Industry Consortia Academia - Government partnership Successful model applied to 450mm program Associate memberships for suppliers possible

Economic Impact of the Albany Cluster. Kenneth Adams President & CEO, Commissioner Empire State Development

Economic Impact of the Albany Cluster. Kenneth Adams President & CEO, Commissioner Empire State Development Economic Impact of the Albany Cluster Kenneth Adams President & CEO, Commissioner Empire State Development Governor Andrew M. Cuomo Lt. Governor Robert J. Duffy In 2010, industry shipped over $110 billion

More information

26 June 2013 copyright 2013 G450C

26 June 2013 copyright 2013 G450C 450 mm Equipment Demonstrations at G450C Statistics Used During Tests of the Semiconductor Industry s Latest Fab Equipment Transition Lorn Christal, G450C Program Manager Demonstration Test Execution 26

More information

The SEMATECH Model: Potential Applications to PV

The SEMATECH Model: Potential Applications to PV Continually cited as the model for a successful industry/government consortium Accelerating the next technology revolution The SEMATECH Model: Potential Applications to PV Dr. Michael R. Polcari President

More information

A New Era in Nanotechnology Research: The Industry-University-Government Cooperative Model

A New Era in Nanotechnology Research: The Industry-University-Government Cooperative Model A New Era in Nanotechnology Research: The Industry-University-Government Cooperative Model May 18, 2010 AVP Business Development, Alliances and Consortia Professor of Nanoengineering IBM Distinguished

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Beyond Moore the challenge for Europe

Beyond Moore the challenge for Europe Beyond Moore the challenge for Europe Dr. Alfred J. van Roosmalen Vice-President Business Development, NXP Semiconductors Company member of MEDEA+/CATRENE/AENEAS/Point-One FIT-IT 08 Spring Research Wien,

More information

SUNY Poly in a New Era

SUNY Poly in a New Era SUNY Poly in a New Era Bahgat Sammakia Interim President, SUNY Polytechnic Institute SUNY Poly in a New Era Overview SUNY Poly is recognized as a global leader in advanced electronics Research and Development,

More information

ISMI Industry Productivity Driver

ISMI Industry Productivity Driver SEMATECH Symposium Japan September 15, 2010 Accelerating Manufacturing Productivity ISMI Industry Productivity Driver Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Gareth Bignell, FE Equipment Procurement Director SEMICON Europa 2012 Presentation Summary 2 An introduction to STMicroelectronics The

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Growing the Semiconductor Industry in New York: Challenges and Opportunities

Growing the Semiconductor Industry in New York: Challenges and Opportunities Accelerating the next technology revolution The SEMATECH New York Experience Growing the Semiconductor Industry in New York: Challenges and Opportunities Dan Armbrust President and CEO, SEMATECH April

More information

Semiconductor Industry Perspective

Semiconductor Industry Perspective Semiconductor Industry Perspective National Academy of Engineering Workshop on the Offshoring of Engineering Washington, D.C. October 25, 2006 Dr. Robert Doering Texas Instruments, Inc. A Few Introductory

More information

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1 A Presentation to the National Academies July 29, 2009 Larry W. Sumney President/CEO Semiconductor Research Corporation1 What is SRC? World s leading consortium funding collaborative university research

More information

ISMI 450mm Transition Program

ISMI 450mm Transition Program SEMATECH Symposium Taiwan September 7, 2010 Accelerating Manufacturing Productivity ISMI 450mm Transition Program Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

ISMI 450mm Transition Program

ISMI 450mm Transition Program SEMATECH Symposium Japan September 15, 2010 Accelerating Manufacturing Productivity ISMI 450mm Transition Program Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

Accelerating the next technology revolution

Accelerating the next technology revolution 1 9 8 7 2 0 0 7 EDITION TWELVE - NOVEMBER 2011 report Accelerating the next technology revolution Inside this issue: Realizing the 450mm Transition SEMATECH s October Triple Play Asia Symposium Showcases

More information

The Center for Emerging and Innovative Sciences University of Rochester September 5, 2013

The Center for Emerging and Innovative Sciences University of Rochester September 5, 2013 Manufacturing Technology Roadmaps for Photonics A Proposal to the NIST Advanced Manufacturing Consortia Program (AMTech) In Support of the National Photonics Initiative The Center for Emerging and Innovative

More information

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven Public Introduction to ASML Ron Kool SVP Corporate Strategy and Marketing March-2015 Veldhoven 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

More information

Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics

Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics February 10, 2011 Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics Presentation overview -General overview -Research focus < 4 Holst Centre: a solid partner in research Independent,

More information

Title: Expand with ROHM ROHM CO., LTD.

Title: Expand with ROHM ROHM CO., LTD. Title: Expand with ROHM ROHM CO., LTD. c 2009 ROHM Co., Ltd. All Rights Reserved Sales by Product Category (Consolidated) 500 (Billions of Yen) 400 300 Passive Components Displays 3,600 9% 10% 4,093 8%

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

The Development of the Semiconductor CVD and ALD Requirement

The Development of the Semiconductor CVD and ALD Requirement The Development of the Semiconductor CVD and ALD Requirement 1 Linx Consulting 1. We create knowledge and develop unique insights at the intersection of electronic thin film processes and the chemicals

More information

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 A*STAR S IME KICKS OFF CONSORTIA TO DEVELOP ADVANCED PACKAGING SOLUTIONS FOR NEXT-GENERATION INTERNET OF THINGS APPLICATIONS AND HIGH-PERFORMANCE WIRELESS

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Paolo A. Gargini Director Technology Strategy Intel Fellow 1 Agenda 2-year cycle Copy Exactly Conclusions 2 I see no reason

More information

ITRS Update (and the European situation) Mart Graef Delft University of Technology

ITRS Update (and the European situation) Mart Graef Delft University of Technology ITRS Update (and the European situation) Mart Graef Delft University of Technology Overview Roadmapping: Moore s Law & More than Moore Europe and the Roadmap Beyond CMOS: Nano-Tec Infrastructures: ENI2

More information

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013 Forward looking statements Slide 2 Safe Harbor Statement

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Accelerating Collective Innovation: Investing in the Innovation Landscape

Accelerating Collective Innovation: Investing in the Innovation Landscape PCB Executive Forum Accelerating Collective Innovation: Investing in the Innovation Landscape How a Major Player Uses Internal Venture Program to Accelerate Small Players with Big Ideas Dr. Joan K. Vrtis

More information

CITATION OF PRESIDENT S SCIENCE AND TECHNOLOGY MEDAL 2012 WINNER

CITATION OF PRESIDENT S SCIENCE AND TECHNOLOGY MEDAL 2012 WINNER CITATION OF PRESIDENT S SCIENCE AND TECHNOLOGY MEDAL 2012 WINNER Professor Dim-Lee Kwong Executive Director, Institute of Microelectronics, Agency for Science, Technology and Research (A*STAR) For his

More information

Thermal Management in the 3D-SiP World of the Future

Thermal Management in the 3D-SiP World of the Future Thermal Management in the 3D-SiP World of the Future Presented by W. R. Bottoms March 181 th, 2013 Smaller, More Powerful Portable Devices Are Driving Up Power Density Power (both power delivery and power

More information

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM Technology Transfers Opportunities, Process and Risk Mitigation Radhika Srinivasan, Ph.D. IBM Abstract Technology Transfer is quintessential to any technology installation or semiconductor fab bring up.

More information

A European Perspective for Electronic Industry in Latin America

A European Perspective for Electronic Industry in Latin America A European Perspective for Electronic Industry in Latin America François Guibert Corporate Vice President, Emerging Markets Region General Manager Electronic, a Global World Security Networking Consumer

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Beyond Immersion Patterning Enablers for the Next Decade

Beyond Immersion Patterning Enablers for the Next Decade Beyond Immersion Patterning Enablers for the Next Decade Colin Brodsky Manager and Senior Technical Staff Member Patterning Process Development IBM Semiconductor Research & Development Center Hopewell

More information

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014 DUV Matthew McLaren Vice President Program Management, DUV 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

DoD Electronics Priorities

DoD Electronics Priorities DoD Electronics Priorities Kristen Baldwin Acting Deputy Assistant Secretary of Defense for Systems Engineering Kickoff Meeting Arlington, VA January 18, 2018 Jan 18, 2018 Page-1 Elements of a Strategy

More information

EU-Russia Meeting on R&D Collaboration Moscow, 25 September 2007

EU-Russia Meeting on R&D Collaboration Moscow, 25 September 2007 EU-Russia Meeting on R&D Collaboration Moscow, 25 September 2007 The FP7 ICT Theme Components & Systems Dr Erastos Filos European Commission Information Society and Media Directorate-General EF_Comp+Syst_FP7ICT_25Sep07-1

More information

Accelerating Growth and Cost Reduction in the PV Industry

Accelerating Growth and Cost Reduction in the PV Industry Accelerating Growth and Cost Reduction in the PV Industry PV Technology Roadmaps and Industry Standards An Association s Approach Bettina Weiss / SEMI PV Group July 29, 2009 SEMI : The Global Association

More information

2010 IRI Annual Meeting R&D in Transition

2010 IRI Annual Meeting R&D in Transition 2010 IRI Annual Meeting R&D in Transition U.S. Semiconductor R&D in Transition Dr. Peter J. Zdebel Senior VP and CTO ON Semiconductor May 4, 2010 Some Semiconductor Industry Facts Founded in the U.S. approximately

More information

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography Scaling of Semiconductor Integrated Circuits and EUV Lithography ( 半導体集積回路の微細化と EUV リソグラフィー ) December 13, 2016 EIDEC (Emerging nano process Infrastructure Development Center, Inc.) Hidemi Ishiuchi 1 OUTLINE

More information

Common Development Topics for Semiconductor Manufacturers and their Suppliers in Germany

Common Development Topics for Semiconductor Manufacturers and their Suppliers in Germany Common Development Topics for Semiconductor Manufacturers and their Suppliers in Germany SEMICON Europa 2013 TechARENA 1: Secondary Equipment Session Contact: Dr.-Ing. Martin Schellenberger, Fraunhofer

More information

IMI Labs Semiconductor Applications. June 20, 2016

IMI Labs Semiconductor Applications. June 20, 2016 IMI Labs Semiconductor Applications June 20, 2016 Materials Are At the Core of Innovation in the 21st Century Weight Space Flexibility Heat Management Lightweight Energy Efficient Temperature Energy Efficient

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 Packaging Roadmap: The impact of miniaturization Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 The Challenges for the Next Decade Addressing the consumer experience using the converged

More information

MEDIA RELEASE FOR IMMEDIATE RELEASE. 8 November 2017

MEDIA RELEASE FOR IMMEDIATE RELEASE. 8 November 2017 MEDIA RELEASE FOR IMMEDIATE RELEASE 8 November 2017 A*STAR IME S NEW MULTI-CHIP FAN-OUT WAFER LEVEL PACKAGING DEVELOPMENT LINE TO DRIVE INNOVATION AND GROWTH IN SEMICONDUCTOR INDUSTRY State-of-the-art

More information

Dassault Systèmes in High-Tech

Dassault Systèmes in High-Tech Dassault Systèmes in High-Tech London September 3 rd, 2014 Olivier RIBET Vice-President, High Tech Industry 1 High-Tech: Driver of Innovation across Industries Connect Product, Nature & Life is the challenge

More information

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing Applications for Mask-less E-Beam Lithography between R&D and Manufacturing May 24, 2006 Lithography Forum Johannes Kretz Table of Contents E-Beam Lithography at Qimonda in Dresden Project Environment

More information

Status and Perspectives of the European Semiconductor Industry. Andreas Wild

Status and Perspectives of the European Semiconductor Industry. Andreas Wild Status and Perspectives of the European Semiconductor Industry Andreas Wild Content 1. 2011 for the European Semiconductors Industry 2. Public-Private Partnership 3. Key Enabling Technologies: Pilot Lines

More information

Limitations and Challenges to Meet Moore's Law

Limitations and Challenges to Meet Moore's Law Limitations and Challenges to Meet Moore's Law Sept 10, 2015 Sung Kim sung_kim@amat.com State of the art: cleanroom toolsets metrology analysis module development test & reliability Introduction Why do

More information

NextFlex: Enabling a Domestic Manufacturing Ecosystem for Flexible Hybrid Electronics (Extended Abstract)

NextFlex: Enabling a Domestic Manufacturing Ecosystem for Flexible Hybrid Electronics (Extended Abstract) NextFlex: Enabling a Domestic Manufacturing Ecosystem for Flexible Hybrid Electronics (Extended Abstract) Benjamin J. Leever*, Eric W. Forsythe + *Air Force Research Laboratory, 2179 12th St., B652/R122,

More information

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU Danchip National Center for Micro- and Nanofabrication DTU Danchip DTU Danchip is Denmark

More information

IBM Research - Zurich Research Laboratory

IBM Research - Zurich Research Laboratory October 28, 2010 IBM Research - Zurich Research Laboratory Walter Riess Science & Technology Department IBM Research - Zurich wri@zurich.ibm.com Outline IBM Research IBM Research Zurich Science & Technology

More information

IMPACT OF 450MM ON CMP

IMPACT OF 450MM ON CMP IMPACT OF 450MM ON CMP MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING, LLC MCORBETT@LINX-CONSULTING.COM PREPARED FOR CMPUG JULY 2011 LINX CONSULTING Outline 1. Overview of Linx Consulting 2. CMP Outlook/Drivers

More information

Aerospace Hub Vision, Mission, Strategy

Aerospace Hub Vision, Mission, Strategy 12 September 2011 Aerospace Hub Vision, Mission, Strategy Mission: Create jobs by capitalizing on the region s strengths in business, education, research while supported by a partnership of public and

More information

National Projects on Semiconductor in NEDO

National Projects on Semiconductor in NEDO National Projects on Semiconductor in NEDO June 17, 2011 Toru Nakayama New Energy and Industrial Technology Development Organization (NEDO), Japan Contents About NEDO NEDO s projects for semiconductor

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 ASML Market dynamics Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 Agenda! Market Overview! Growth Opportunities! 300mm Market! Asia Overview / Slide 2 ASML Unit Market Share Trend 60% 12 &

More information

Facing Moore s Law with Model-Driven R&D

Facing Moore s Law with Model-Driven R&D Facing Moore s Law with Model-Driven R&D Markus Matthes Executive Vice President Development and Engineering, ASML Eindhoven, June 11 th, 2015 Slide 2 Contents Introducing ASML Lithography, the driving

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger - FormFactor

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger - FormFactor International Technology Roadmap for Semiconductors Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc - FormFactor Who are we? Why a roadmap? What is the purpose? Example Trends How can you

More information

Energy beam processing and the drive for ultra precision manufacturing

Energy beam processing and the drive for ultra precision manufacturing Energy beam processing and the drive for ultra precision manufacturing An Exploration of Future Manufacturing Technologies in Response to the Increasing Demands and Complexity of Next Generation Smart

More information

MEDIA RELEASE INSTITUTE OF MICROELECTRONICS KICKS OFF COPPER WIRE BONDING CONSORTIUM II TO TACKLE COPPER INTERCONNECTS RELIABILITY ISSUES

MEDIA RELEASE INSTITUTE OF MICROELECTRONICS KICKS OFF COPPER WIRE BONDING CONSORTIUM II TO TACKLE COPPER INTERCONNECTS RELIABILITY ISSUES MEDIA RELEASE INSTITUTE OF MICROELECTRONICS KICKS OFF COPPER WIRE BONDING CONSORTIUM II TO TACKLE COPPER INTERCONNECTS RELIABILITY ISSUES 1. Singapore, 25 March, 2013 -- The Institute of Microelectronics

More information

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

21 st Annual Needham Growth Conference

21 st Annual Needham Growth Conference 21 st Annual Needham Growth Conference Investor Presentation January 15, 2019 Safe Harbor Statement The information contained in and discussed during this presentation may include forward-looking statements

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

Roadmap Semiconductor Equipment Innovation Agenda

Roadmap Semiconductor Equipment Innovation Agenda Roadmap Semiconductor Equipment Innovation Agenda 2018-2021 1. Societal and economic relevance Over the years, electronics have become an inseparable part of our lives. Think of the internet, the cloud,

More information

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger FormFactor

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger FormFactor International Technology Roadmap for Semiconductors Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger FormFactor Who are we? Why a roadmap? What is the purpose? Example Trends How

More information

N e w s R e l e a s e

N e w s R e l e a s e N e w s R e l e a s e Infineon Austria achieves double-digit growth and takes the vanguard of Industry 4.0 in Austria year-end statement for fiscal year 2014 New Management Board team since April 2014

More information

Shared Investment. Shared Success. ReMAP Call for Proposals by Expression of Interest

Shared Investment. Shared Success. ReMAP Call for Proposals by Expression of Interest Shared Investment. Shared Success. ReMAP 2.0 2018 Call for Proposals by Expression of Interest What s a BL-NCE? Refined Manufacturing Acceleration Process (ReMAP) is an innovation accelerator focused on

More information

CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013

CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013 CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013 Outline Where have we been? Semiconductor Industry Birth

More information

Collaboration: The Semiconductor Industry s Path to Survival and Growth

Collaboration: The Semiconductor Industry s Path to Survival and Growth Collaboration: The Semiconductor Industry s Path to Survival and Growth Dr. Michael R. Polcari President and CEO SEMATECH 15 March 2005 3/17/2005 J:\ADMCTR\OCE\M_Polcari\ITPC 10-04 1 Outline Environment

More information

» Facing the Smart Future «

» Facing the Smart Future « Industrie 4.0 Internet of Things» Facing the Smart Future «Smart Products, Production and Services Internet of Services Industrial Internet Digital Manufacturing Call for Partners: Consortium Study Our

More information

Michael P. Ridley, Director. NYSTAR High Performance Computing Program

Michael P. Ridley, Director. NYSTAR High Performance Computing Program NYSTAR High Performance Computing Program Michael P. Ridley, Director NYSTAR High Performance Computing Program David A. Paterson, Governor Edward Reinfurt, Executive Director Outline 1 Program Goals 2

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

International Cooperation for Small Satellite Development

International Cooperation for Small Satellite Development International Cooperation for Small Satellite Development Milind Pimprikar, Rick Earles CANEUS International Andrew Quintero The Aerospace Corporation Fredrik Bruhn Angstrom Aerospace CANEUS Background

More information

Semiconductor Technology Academic Research Center copyright STARC,2004

Semiconductor Technology Academic Research Center copyright STARC,2004 1 2 3 4 100 Pre-Competitive 10 1 SoC 5 10 5 6 7 A1-1LSI 2004 05 06 07 08 09 10 11 12 13 14 90nm 65nm 45nm 32nm 3 GHz, 1000specint2000 500 MHz, 1 GIPS, 100mW 8 GHz, 2000specint2000 1GHz, 2.0 GIPS, 100mW

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

It s Time for 300mm Prime

It s Time for 300mm Prime It s Time for 300mm Prime Iddo Hadar Managing Director, 300mm Prime Program Office SEMI Strategic Business Conference Napa Valley, California Tuesday, April 24, 2007 Safe Harbor Statement This presentation

More information

Business Innovation through Industry-Academic Partnership. The WiSen Ireland Initiative

Business Innovation through Industry-Academic Partnership. The WiSen Ireland Initiative Business Innovation through Industry-Academic Partnership The Ireland Initiative, an Industry led Business-Academic network conducting WSN research Wireless Sensor Network Activity in Ireland Government

More information

WHO WE ARE MISSION STATEMENT

WHO WE ARE MISSION STATEMENT WHO WE ARE Parker Life Sciences offers reliable fluidic and motion control products, MetaModules, and systems to customers in life sciences and in analytical instrumentation markets. As part of Parker

More information

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Recent Developments in Multifunctional Integration Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Founding Participants 2 One-Stop-Shop for developments from wafer technologies

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Economic Model Workshop, Philadelphia

Economic Model Workshop, Philadelphia Economic Model Workshop, Philadelphia Denis Fandel, Project Manager, MM&P 1 August 2001 Meeting Guidelines Project Mission / Model Overview Early Production Test Program Fundamental Assumption Allocation

More information

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU Danchip National Center for Micro- and Nanofabrication DTU Danchip DTU Danchip is Denmark

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS

FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS The entire added-value chain for microelectronics and nanoelectronics from a single provider The Research Fab Microelectronics

More information

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th BCD Smart Power Roadmap Trends and Challenges Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th Outline 2 Introduction Major Trends in Smart Power ASICs An insight on (some) differentiating

More information

Recent Trends in Semiconductor IC Device Manufacturing

Recent Trends in Semiconductor IC Device Manufacturing Recent Trends in Semiconductor IC Device Manufacturing August 2007 Dr. Stephen Daniels Executive Director National Centre for Plasma Moore s Law Moore s First Law Chip Density will double ever 18months.

More information

Application Interest Group (AIG) Process Overview. Dr. Robert C. Pfahl Director of Roadmapping

Application Interest Group (AIG) Process Overview. Dr. Robert C. Pfahl Director of Roadmapping Application Interest Group (AIG) Process Overview Dr. Robert C. Pfahl Director of Roadmapping Outline Overview of IPSR AIG Process Roadmapping Technical Planning Application Interest Group (AIG) Formation

More information

JOINT NEWS RELEASE. Partnership with Fujikura in photonic crystal CDC device

JOINT NEWS RELEASE. Partnership with Fujikura in photonic crystal CDC device JOINT NEWS RELEASE Japanese multinational companies extend presence in Singapore through research collaborations with IME IME scores a hat-trick with Fujikura, Mitsui and Seiko in photonics, MEMs and IC

More information

Platform Independent Launch Vehicle Avionics

Platform Independent Launch Vehicle Avionics Platform Independent Launch Vehicle Avionics Small Satellite Conference Logan, Utah August 5 th, 2014 Company Introduction Founded in 2011 The Co-Founders blend Academia and Commercial Experience ~20 Employees

More information

FP7 ICT Work Programme

FP7 ICT Work Programme FP7 ICT Work Programme 2011-12 Focus on ICT Call 8 and PPP Calls Alessandro Barbagli European Commission Head of Sector - ICT Operations Roma 9 September 2011 Disclaimer: The aim of this presentation is

More information

Story of Coming Home

Story of Coming Home Story of Coming Home GE was founded as Edison General Electric in Schenectady, New York in 1892. Over the next century, GE s business flourished. At its peak, the company employed more than 50,000 New

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information