IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

Size: px
Start display at page:

Download "IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)"

Transcription

1 IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978) Linx Consulting, PO Box 384, Mendon, MA Ph: (617) A Strategic Forecast of the Semiconductor Industry Slide List This report is now complete and available for purchase as a complete report or chapter by chapter. The report is delivered as Power Point presentations with all of the graphs as embedded spreadsheets so that the user can click on the graphs and see the underlying data. The following is a list of the chapters and slides: 1. Chapter 1 The Semiconductor Market 1.1. Chapter Title Slide 1.2. Semiconductor Forecast Methodology 1.3. Worldwide Gross Domestic Product (GDP) Trend (1980 to 2029) 1.4. Worldwide GDP Growth Rate (1980 to 2029) 1.5. GDP Forecast by Region (2009 to 2013) 1.6. GDP Forecast Comments 1.7. Electronics Systems Sales Versus GDP (1980 to 2029) 1.8. Worldwide Electronics Systems Sale Trend (1980 to 2029) 1.9. Electronics Systems Growth Rate (1980 to 2029) Worldwide Electronics Systems Sales Breakout PC and Mobile Device Units Trends (1985 to 2017) Semiconductor Content Semiconductor Versus Electronic Systems (1980 to 2029) Worldwide Semiconductor Sales Trend (1980 to 2029) Semiconductor Sales Growth Rate (1980 to 2029) GDP Versus Electronics Versus Semiconductors (1980 to 2029) Semiconductor Units and Bit Growth (1980 to 2029) NAND Prospects Versus Hard Disc Drives Memory Consolidation ASP Trend (1995 to 2029) Semiconductor Sales by Region (Headquarters) (1982 to 2012) Semiconductor Sales by Where Wafer Fabbed (2000 to 2012) Integrated Circuit Sales by Region (Sold) (1980 to 2012) Projected Semiconductor Sales by End Use Semiconductor Sales by Product (2000 to 2029) Sales by Product Comments Sourcing Comments

2 Worldwide Top Ten Semiconductor Companies Projecting the Future Conclusions 2. Chapter 2 Foundry Versus IDM 2.1. Chapter Title Slide 2.2. Definitions 2.3. Internal Versus Foundry Fab Foundry Gross Margin and R&D Spending 2.5. Internal Versus Foundry Fab Fabless Versus Semiconductor Sales (1998 to 2029) Top 10 Foundries 2.8. Internal Fab Versus Foundry Fab Wafer Cost Versus Country Other Foundry Versus IDM Considerations Foundry Gross Margin % Versus Utilization (TSMC, UMC, SMIC, some Chartered) Foundry Versus IDM Utilization Trends (TSMC, UMC, SMIC, some Chartered) Fab Lite Commentary on Foundry Versus IDM Utilization Foundry ASP Trends (TSMC 90nm, 65nm, 40nm, 28nm, 20nm and 16nm 2010 to 2020) Foundry Node Sales Trends (TSMC by elapsed quarters since introduction for 350nm/250nm, 180nm/150nm, 130nm/110nm, 90nm, 65nm, 40nm, 28nm) Technology Development Costs Versus Node Wafer Cost Versus Fab Size Leading Edge Foundry Outlook Specialty Foundry Conclusion 3. Chapter 3 Logic Device Technology 3.1. Chapter Title Slide 3.2. Logic Device Types 3.3. MOSFET Scaling (Constant Electric Field) 3.4. MOSFET Scaling MOSFET Saturation Drive Current 3.6. Scaling Limitations Velocity Saturation 3.7. Scaling Limitations DIBL 3.8. Scaling Limitations Off State Leakage 3.9. Scaling Limitations Gate Oxide Leakage On State Power Consumption Strain Engineering Compressive Strain Techniques (PMOS) Tensile Strain Techniques (NMOS) Strain Usage (Intel) Strain Usage (Foundries) High k Gate Oxide High k Gate Oxide Selection Issues High k Gate Material Selection Interfacial Layers

3 3.20. High k Gate Oxide Usage by Company High k Metal Gates Dual Metal Gates Why? Metal Gate Candidate Metals Gate First High k Metal Gate (HKMG) Gate Last High k Metal Gate (HKMG) Fully Depleted Devices Full Depleted Silicon on Insulator (FDSOI) FDSOI Substrate Fabrication FDSOI Comments FDSOI Comments FDSOI Roadmap SiGe PMOS by Ge Condensation ssoi Fabrication Process FinFET TriGate Silicon Thickness Comparison (FDSOI versus FinFET versus TriGate) Multi Gate Channel Doping Tri Gates (PMOS shown) Fin Fabrication on Bulk Multi Gate on SOI Multi Gate Device Comments nm Score card High Mobility Channels Aspect Ratio Trapping Germanium Fin Formation High Mobility Channel Integration High Mobility Channel Integration Roadmap Cost Considerations Other Options Conclusion 4. Chapter 4 Memory Device Technology 4.1. Chapter Title Slide 4.2. Memory Hierarchy in Logic Systems 4.3. Memory Taxonomy 4.4. Production Memory Comparison (DRAM, NAND, NOR, SRAM) 4.5. Embedded Memory Comparison (DRAM, NOR, SRAM) 4.6. Prototypical Memory Comparison (FeRAM, MRAM, PCRAM, RRAM) 4.7. Volatile Memory SRAM 4.8. SRAM 4T Versus 6T Cell 4.9. Volatile Memory DRAM DRAM Architecture Folded Bit Line DRAM Architecture Open Bit Line DRAM Capacitor Scaling DRAM Capacitor Scaling DRAM Capacitor Scaling 3

4 4.15. DRAM Capacitor Scaling Capacitor Materials Cylinder Capacitors Cylinder Capacitor MESH Formation DRAM Access Transistor RCAT DRAM Access Transistor Saddle Fin DRAM Access Transistor VCT DRAM Scaling Table Samsung DRAM Scaling Issues Non Volatile Memory Flash NAND Versus NOR Flash NOR Interconnect NAND Versus NOR Flash NAND Versus NOR Flash NAND Scaling table Flash Scaling Challenges Planar Flash Structure D Flash ITRS 3D Flash Layer Forecast D to 3D NAND Transition and Cost Emerging Memory FeRAM MRAM PCRAM RRAM Memory Roadmap Memory Density by Year Conclusion 5. Chapter 5 Analog and Discrete Devices 5.1. Title Slide 5.2. Analog Technology 5.3. Analog Market Size 5.4. Analog Applications Analog Applications Analog Applications Communications Systems 5.7. Analog Modulation 5.8. Interfacing Digital and Analog 5.9. Analog Applications Audio ADC Circuit Requirements Bipolar Versus MOS for Analog Bipolar Versus MOS for Analog Capacitor Quality Resistor Quality Analog Manufacturing Vertical NPN Bipolar Vertical NPN Bipolar BiCMOS

5 5.19. Simple BiCMOS Standard Buried Collector BiCMOS Twin Well BiCMOS Bipolar CMOS DMOS (BCD) Analog CMOS Silicon On Insulator (SOI) Gallium Arsenide (GaAs) SiGe HBT Cut Off Frequency IBM SiGe Processes SiGe HBT SiGe HBT Implementation Communications Process Adders Cell Phone Standards Cell Phone Block Diagram (iphone 4) Discrete Devices Common Power Devices Breakdown Voltage Edge Termination Power MOSFET Optimization Low Voltage Power MOSFET High Voltage Power MOSFET IGBT Thyristor Silicon Carbide (SiC) and Gallium Nitride (GaN) SiC and GaN On Resistance SiC and GaN Substrates SiC and GaN Devices SiC and GaN Status and Outlook 6. Chapter 6 Silicon Forecast 6.1. Title Slide 6.2. Introduction 6.3. Standard Silicon Wafer Sizes 6.4. Silicon Wafer Types 6.5. Worldwide Silicon Demand Versus Semiconductor Revenue (1960 to 2012) 6.6. Revenue Versus Silicon Area (1960 to 2029) 6.7. Silicon Wafer Size Life Cycle 6.8. Silicon Wafer Life Cycle Comments 6.9. New Wafer Size Ramp Silicon Demand Forecast Comments Worldwide Silicon Demand By Wafer Size (logarithmic plot) (1960 to 2029) Worldwide Silicon Demand By Wafer Size (linear plot) (1960 to 2029) Worldwide Silicon Demand By Wafer Size (percentage plot) (1960 to 2029) Silicon Demand by Wafer Size Profiles (2020 and 2030) mm Capacity By Country (linear plot) (2000 to 2020) mm Capacity By Country (area plot) (2000 to 2020) Silicon Demand by Products Comments

6 mm Silicon Demand By Product and Year (Line Chart) (2000 to 2029) mm Silicon Demand By Product and Year (Area Chart) (2000 to 2029) mm Silicon Demand By Product and Year (Line Chart) (2000 to 2029) mm Silicon Demand By Product and Year (Area Chart) (2000 to 2029) Conclusion 7. Chapter 7 Lithography Forecast 7.1. Title Slide 7.2. Lithography Description 7.3. Linewidth Trends 7.4. Basic Process 7.5. Surface Prime 7.6. Coating 7.7. Soft Bake 7.8. Exposure Exposure Exposure Step and Repeat Step and Repeat Die Size Limits Exposure Step and Scan Exposure Step and Scan Step and Scan Die Size Limits Exposure System Stage Control Post Exposure Bake Develop Hard Bake Pellicles Interference Diffraction Resolution Limits Exposing Wavelengths Photoresist Chemistry Photoresist Chemistry Photoresist Chemistry Excimer Lasers Numerical Aperture Immersion Lithography k 1 Trends k 1 Limits (approximate) D Versus 2D Layouts Optical Proximity Correction (OPC) Off Axis Illumination (OAI) Off Axis Illumination (OAI) Source Mask Optimization Phase Shift Masks (PSM) Phase Shift Masks (PSM) Anti Reflective Coatings (ARC) 1

7 7.41. Anti Reflective Coatings (ARC) Chemical Shrink Trim Lithography Stack Complexity Lithography Stack Complexity Image Quality Lith Freeze Litho Etch (LFLE) Litho Etch Litho Etch (LELE) Self Aligned Double Patterning (SADP) CVD Self Aligned Double Patterning (SADP) Spin On Cut Masks Cut Masks Self Aligned Quadruple Patterning (SAQP) Directed Self Assembly (DSA) Polymers Directed Self Assembly (DSA) Techniques Directed Self Assembly (DSA) Issues Extreme Ultraviolet (EUV) EUV System EUV Photoresist Chemistry EUV Masks EUV Roadmap (ASML) EUV Throughput Challenge Lithography Cost With and Without EUV nm Cost Versus EUV Throughput Lithography Roadmap Lithography Roadmap 2 8. Chapter 8 300mm 8.1. Title Slide 8.2. Previous Wafer Size Transitions 8.3. Wafer Area Transitions 8.4. Consortia 8.5. Joint Venture Fabs 8.6. Equipment Development 8.7. Equipment Development Cost 8.8. Equipment Configuration 8.9. Cycle Time mm Versus 200mm Equipment Fab Changes Automation Fab Changes Equipment Size First 300mm Fabs Initial Ramp Fab Capacity Trends Average Fab Size by Product (2013) Wafer Cost Versus Fab Size Starting Substrate Cost Cost Savings Versus 200mm Revenue Required to Support a 300mm Fab

8 mm Capital Efficiency Technology Cross Over Cleanroom Size Capacity by Product Capacity by Country Number of Companies With Fabs Wafer Fab Cost Capacity Leaders Capacity Leaders Capacity Leaders Capacity Leaders Number of Fabs Emerging Applications mm Impact 9. Chapter 9 450mm 9.1. Title Slide 9.2. Introduction 9.3. History 9.4. G450C 9.5. G450C Current Status 9.6. EEMI Development Forecast mm Whats New 9.9. Starting Material Cost Starting Material Suppliers Materials and Utilities Usage Tool Throughput Projected Tool Characteristics Wafer Cost Comparison Equipment Cost Sensitivity Equipment Footprint Sensitivity Consumables Sensitivity mm Fab Scale mm Fab Scale mm Fab Costs Wafer Cost mm Production Timing Early Adopters Fast Followers Number of Companies with Fabs mm Ramp mm Ramp mm Ramp Impact 10. Chapter 10 Packaging Title Slide Introduction

9 10.3. Assembly and Test Market (2002 to 2012) Top 10 OSATs Packaging Options Packaging Volume by Major Category (1995 to 2012) Market by Packaging Type Leadframe Fabrication Process Multilayer Ceramic Fabrication Plastic Laminant Fabrication Process Built Up Substrates Wafer Thinning Wafer Mount for Saw Wafer Sawing Leadframe Assembly Process Leadframe Die Attach Leadframe Die Attach Leadframe Wirebond Leadframe Wirebond Leadframe Molding Leadframe Molding Leadframe Molding Leadframe Singulation Leadframe Singulation Leadframe Package Cut Away Substrate Die Attach Substrate Wirebond Substrate Encapsulation and Singulation Flip Chip Mounting Process Green Packages Stacked Die Interposer Fabrication Interposer Package Through Silicon Via (TSV) Via First Process Through Silicon Via (TSV) Via Middle Process Through Silicon Via (TSV) Via Last Process Comparison of TSV Options TSV Challenges Vertical 3D IC Package 11. Chapter 11 Equipment Market Title Slide Introduction Forecast Methodology ALD and CVD ALD ALD Process ALD System ALD Applications CVD

10 CVD Processes CVD Systems CVD Applications ALD/CVD Market Leaders ALD and CVD Forecast (300mm) (2011 to 2016) CMP CMP Process CMP Process CMP Systems CMP Applications CMP Market Leaders CMP Forecast (300mm) (2011 to 2016) Dry Etching and Ashing Dry Etch Process Dry Etch Process Dry Etch Systems Dry Etch Applications Ashing Process and Systems Dry Etch Market Share Dry Etch and Ashing Forecast (300mm) (2011 to 2016) Exposure Tools Exposure Process Exposure Systems Stage Control Exposure Applications Exposure Equipment Price Trend Exposure Equipment Market Leaders Exposure System Forecast (300mm) (2011 to 2016) Ion Implant Ion Implant Process Ion Implant Systems Ion Implant Applications Ion Implant Applications Ion Implant Market Leaders Ion Implant Forecast (300mm) (2011 to 2016) Metrology and Inspection Metrology and Inspection Applications Metrology and Inspection Applications Metrology and Inspection Applications Metrology and Inspection Applications Metrology and Inspection Market Leaders Metrology and Inspection Forecast (300mm) (2011 to 2016) Plating Plating Process Plating Process Plating Systems Plating Applications Plating Market Leaders

11 Plating Forecast (300mm) (2011 to 2016) PVD PVD Processes PVD Processes PVD Systems PVD Applications PVD Market Leaders PVD Forecast (300mm) (2011 to 2016) Spin On Spin On Market Leaders Spin On Forecast (300mm) (2011 to 2016) Tracks Track Processes Track Processes Track Systems Track Systems Track Applications Track Market Leaders Track Forecast (300mm) (2011 to 2016) Thermal Thermal Processes Thermal Systems Thermal Applications Thermal Market Leaders Thermal Forecast (300mm) (2011 to 2016) Wet Clean and Etch Wet Clean and Etch Processes Wet Clean and Etch System Elements Wet Clean and Etch Systems Wet Clean and Etch Market Leaders Wet Clean and Etch Forecast (300mm) (2011 to 2016) mm Equipment Market by Year Units (2000 to 2029) mm Equipment Market by Year Dollars (2000 to 2029) mm Equipment Market by Year Units (2000 to 2029) mm Equipment Market by Year Dollars (2000 to 2029) Equipment Breakout (2013 and 2023) Equipment Versus Semiconductor Revenue (1990 to 2029) Conclusion 12. Chapter 12 Materials Market Title Introduction Substrates Czochralski Process Substrates Process Substrates Orientation Substrates Market Segmentation

12 12.9. Segment Trends Devices Greater than 100nm Patterning Patterning Materials for >100nm Patterning Materials for >100nm Patterning Materials for >100nm Patterning Materials for >100nm Dielectrics Doping Photoresist Strip Predeposition Cleaaning PMD and ILD Metals Etching Wet Etch Etching Dry Etch Materials Market >100nm Advanced Devices Cost Per Function Trends (Moore s Law) Patterning <100nm Advanced Patterning Materials <100nm Masks and Reticles Advanced Binary Reticles Phase Shift Reticles EUV Reticles Advanced Dielectrics STI and PMD Strain ILD and Low k Dielectrics Implant Metal Deposition ALD PVD CVD Electroplating CMP Total Materials Market Packaging for Advanced Devices Advanced Packaging Materials TSV Conclusions Conclusions Chapter 13 Wafer Cost Title Slide Introduction Profit and Loss Statement Cost of Goods Sold (Fabrication) Wafer Fabrication Cost Map

13 13.6. Starting Wafer Cost Trends Starting Wafer Cost Trends Starting Wafer Prices Direct Labor Hours Per Mask Layer Direct Labor Rate Trends Direct Labor Rates Direct Labor Calculations Amortization and Depreciation Depreciation Capital Cost Trends Capital Investment Depreciation Calculation 28nm Foundry Logic Depreciation Per Wafer 28nm Foundry Logic Equipment Maintenance Indirect Labor Hour Ratios Engineer Salaries Estimating Indirect Labor Rates Indirect Labor Calculation Facilities Cost Categories Facilities Cost Categories Electric Rate Trends Natural Gas Rate Trends Utility Rates Facilities Cost Versus Country Facilities Cost Calculation Monitor Wafers Consumables Consumables Reticle Costs Reticle Amortization Reticle Cost Per Wafer Trends Consumables Summary Wafer Yield Yielded Wafer Cost Example 28nm Wafer Cost Fixed Versus Variable Cost Wafer Cost Versus Utilization Scaling and Cost Real Scaling Intel Scaling Example Cost Versus Time Cost Versus Time Wafer Costs 20nm and 14nm Options Wafer Cost Trends Wafer Cost Trends Wafer Cost Trends Die Cost Effect of a Shrink Pause Conclusion

14 14. Chapter 14 Facilities Title Introduction Cleanliness Requirements Yield Yield Models Cleanroom Concept Cleanroom Filters ISO Cleanroom Standard Multilevel Cleanroom Design Mini Environment Pre 300mm Minienvironment 300mm Cleanroom Requirements Ultrapure Water Systems Ultrapure Gas Distribution Ultrapure Chemical Distribution Exhaust Systems and Abatement Waste Water Treatment Fab Changes Automation Fab Changes Equipment Size Cleanroom Size Versus Output Utilities Trends Cost Trends Cost Trends Conclusion

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

The Development of the Semiconductor CVD and ALD Requirement

The Development of the Semiconductor CVD and ALD Requirement The Development of the Semiconductor CVD and ALD Requirement 1 Linx Consulting 1. We create knowledge and develop unique insights at the intersection of electronic thin film processes and the chemicals

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

ATV 2011: Computer Engineering

ATV 2011: Computer Engineering ATV 2011: Technology Trends in Computer Engineering Professor Per Larsson-Edefors ATV 2011, L1, Per Larsson-Edefors Page 1 Solid-State Devices www.cse.chalmers.se/~perla/ugrad/ SemTech/Lectures_2000.pdf

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141 EECS 151/251A Spring 2019 Digital Design and Integrated Circuits Instructors: Wawrzynek Lecture 8 EE141 From the Bottom Up IC processing CMOS Circuits (next lecture) EE141 2 Overview of Physical Implementations

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

Semiconductor Devices

Semiconductor Devices Semiconductor Devices - 2014 Lecture Course Part of SS Module PY4P03 Dr. P. Stamenov School of Physics and CRANN, Trinity College, Dublin 2, Ireland Hilary Term, TCD 3 th of Feb 14 MOSFET Unmodified Channel

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Jean-Marc Chery Chief Operating Officer Front-End Manufacturing Unique capability 2 Technology portfolio aligned with application focus areas Flexible IDM model with foundry

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored by the Air Force Research Laboratory (AFRL/RVSE) TPOC: Mr. Kenneth Hebert 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 25 October 2011 www.americansemi.com 2011 American Semiconductor,

More information

The future of lithography and its impact on design

The future of lithography and its impact on design The future of lithography and its impact on design Chris Mack www.lithoguru.com 1 Outline History Lessons Moore s Law Dennard Scaling Cost Trends Is Moore s Law Over? Litho scaling? The Design Gap The

More information

Chapter 2 Silicon Planar Processing and Photolithography

Chapter 2 Silicon Planar Processing and Photolithography Chapter 2 Silicon Planar Processing and Photolithography The success of the electronics industry has been due in large part to advances in silicon integrated circuit (IC) technology based on planar processing,

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Mark Bohr Intel Senior Fellow Logic Technology Development Kaizad Mistry 45 nm Program Manager Logic Technology Development

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02 EE 5611 Introduction to Microelectronic Technologies Fall 2014 Thursday, September 04, 2014 Lecture 02 1 Lecture Outline Review on semiconductor materials Review on microelectronic devices Example of microelectronic

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Chapter 2 : Semiconductor Materials & Devices (II) Feb Chapter 2 : Semiconductor Materials & Devices (II) 1 Reference 1. SemiconductorManufacturing Technology: Michael Quirk and Julian Serda (2001) 3. Microelectronic Circuits (5/e): Sedra & Smith (2004) 4.

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014 DUV Matthew McLaren Vice President Program Management, DUV 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI 1 Integrated diodes pn junctions of transistor structures can be used as integrated diodes. The choice of the junction is limited by the considerations of switching speed and breakdown voltage. The forward

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

VLSI Design. Introduction

VLSI Design. Introduction Tassadaq Hussain VLSI Design Introduction Outcome of this course Problem Aims Objectives Outcomes Data Collection Theoretical Model Mathematical Model Validate Development Analysis and Observation Pseudo

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process Intel Xeon E3-1230V2 CPU Structural Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural Analysis Some of the information in this report may

More information

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09 Study Number MA108-09 August 2009 Copyright Semico Research, 2009. All rights reserved. Reproduction in whole or part is prohibited without permission of Semico. The contents of this report represent

More information

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling Goodbye Microelectronics Welcome Nanoelectronics Sub-micron technology IC fabrication process trends SOI technology SiGe Tranzistor in 50nm process Virus The thickness of gate oxide= 1.2 nm!!! Today we

More information

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling imec 2009 1 The Role of European Research Institutes in the 450mm Wafer Transition Process IMEC nanoelectronics platform A Collaborative approach towards 450mm R&D IMEC March 2009 Outline Introduction

More information

Product Catalog. Semiconductor Intellectual Property & Technology Licensing Program

Product Catalog. Semiconductor Intellectual Property & Technology Licensing Program Product Catalog Semiconductor Intellectual Property & Technology Licensing Program MANUFACTURING PROCESS TECHNOLOGY OVERVIEW 90 nm 130 nm 0.18 µm 0.25 µm 0.35 µm >0.40 µm Logic CMOS SOI CMOS SOI CMOS SOI

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

Processing and Reliability Issues That Impact Design Practice. Overview

Processing and Reliability Issues That Impact Design Practice. Overview Lecture 15 Processing and Reliability Issues That Impact Design Practice Zongjian Chen Zongjian_chen@yahoo.com Copyright 2004 by Zongjian Chen 1 Overview As a maturing industry, semiconductor food chain

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Gareth Bignell, FE Equipment Procurement Director SEMICON Europa 2012 Presentation Summary 2 An introduction to STMicroelectronics The

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography Scaling of Semiconductor Integrated Circuits and EUV Lithography ( 半導体集積回路の微細化と EUV リソグラフィー ) December 13, 2016 EIDEC (Emerging nano process Infrastructure Development Center, Inc.) Hidemi Ishiuchi 1 OUTLINE

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

VLSI Design. Introduction

VLSI Design. Introduction VLSI Design Introduction Outline Introduction Silicon, pn-junctions and transistors A Brief History Operation of MOS Transistors CMOS circuits Fabrication steps for CMOS circuits Introduction Integrated

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

21 st Annual Needham Growth Conference

21 st Annual Needham Growth Conference 21 st Annual Needham Growth Conference Investor Presentation January 15, 2019 Safe Harbor Statement The information contained in and discussed during this presentation may include forward-looking statements

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

Present Status and Future Prospects of EUV Lithography

Present Status and Future Prospects of EUV Lithography 3rd EUV-FEL Workshop Present Status and Future Prospects of EUV Lithography (EUV リソグラフィーの現状と将来展望 ) December 11, 2011 Evolving nano process Infrastructure Development Center, Inc. (EIDEC) Hidemi Ishiuchi

More information

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY S. M. SZE National Chiao Tung University Hsinchu, Taiwan And Stanford University Stanford, California ELECTRONIC AND SEMICONDUCTOR INDUSTRIES

More information

W ith development risk fully borne by the equipment industry and a two-year delay in the main

W ith development risk fully borne by the equipment industry and a two-year delay in the main Page 1 of 5 Economic Challenges and Opportunities in the 300 mm Transition Iddo Hadar, Jaim Nulman, Kunio Achiwa, and Oded Turbahn, Applied Materials Inc. -- 10/1/1998 Semiconductor International W ith

More information

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor and LED Markets Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor & LED Investing in Semiconductor and LED $ Millions 300 200 27% CAGR 100 0 * FY06

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

Chapter 1, Introduction

Chapter 1, Introduction Introduction to Semiconductor Manufacturing Technology Chapter 1, Introduction hxiao89@hotmail.com 1 Objective After taking this course, you will able to Use common semiconductor terminology Describe a

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

ISMI Industry Productivity Driver

ISMI Industry Productivity Driver SEMATECH Symposium Japan September 15, 2010 Accelerating Manufacturing Productivity ISMI Industry Productivity Driver Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

Enabling Semiconductor Innovation and Growth

Enabling Semiconductor Innovation and Growth Enabling Semiconductor Innovation and Growth EUV lithography drives Moore s law well into the next decade BAML 2018 APAC TMT Conference Taipei, Taiwan Craig De Young Vice President IR - Asia IR March 14,

More information

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Nanometer technologies make designers aware

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report 2018-19 Photoresists & Ancillaries Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report Prepared by Ed Korczynski Reviewed and Edited by Lita Shon-Roy TECHCET CA LLC PO Box 3814

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

CMOS Technology & Business Trends

CMOS Technology & Business Trends CMOS Technology & Business Trends Can the semiconductor industry afford to continue advancing? Peter M. O Neill Automated Test Innovations Agilent Laboratories Disclaimers Not a thoroughly researched paper

More information

Layout and technology

Layout and technology INF4420 Layout and technology Dag T. Wisland Spring 2015 Outline CMOS technology Design rules Analog layout Mismatch Spring 2015 Layout and technology 2 Introduction As circuit designers we must carefully

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website Lecture 27 ANNOUNCEMENTS Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website Final Exam Review Session: Friday 12/14, 3PM, HP Auditorium Video will be

More information

Facing Moore s Law with Model-Driven R&D

Facing Moore s Law with Model-Driven R&D Facing Moore s Law with Model-Driven R&D Markus Matthes Executive Vice President Development and Engineering, ASML Eindhoven, June 11 th, 2015 Slide 2 Contents Introducing ASML Lithography, the driving

More information

David B. Miller Vice President & General Manager September 28, 2005

David B. Miller Vice President & General Manager September 28, 2005 Electronic Technologies Business Overview David B. Miller Vice President & General Manager September 28, 2005 Forward Looking Statement During the course of this meeting we may make forward-looking statements.

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Significant Developments and Trends in 3D Packaging with Focus on Embedded Substrate Technologies

Significant Developments and Trends in 3D Packaging with Focus on Embedded Substrate Technologies Significant Developments and Trends in 3D Packaging with Focus on Embedded Substrate Technologies Presented by PSMA Packaging Committee Brian Narveson and Ernie Parker, Co-Chairmen Technology Report Commissioned

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

Gallium nitride (GaN)

Gallium nitride (GaN) 80 Technology focus: GaN power electronics Vertical, CMOS and dual-gate approaches to gallium nitride power electronics US research company HRL Laboratories has published a number of papers concerning

More information

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS LUC VAN DEN HOVE President & CEO imec OUTLINE! Industry drivers! Roadmap extension! Lithography options! Innovation through global collaboration

More information