research in the fields of nanoelectronics

Size: px
Start display at page:

Download "research in the fields of nanoelectronics"

Transcription

1 FRAUNHOFEr center Nanoelectronic Technologies research in the fields of nanoelectronics 1

2 contents Fraunhofer CNT in Profile 3 Competence Areas Analytics 4 Functional Electronic Materials 5 Device & Integration 6 Patterning 7 Highlights 8 Innovation through Cooperation 9 Fraunhofer Gesellschaft

3 Research in the fields of nanoelectronics in close cooperation with manufacturing Fraunhofer CNT is located in the north of Dresden, close to the semiconductur manufacturers GLOBALFOUNDRIES, Infineon and X-Fab. Embedded in the cluster Silicon Saxony, Dresden offers excellent location conditions for the research platform of nanoelectronics, which was established in Apart from the partnership with GLOBALFOUNDRIES, Fraunhofer CNT is also in close cooperation with different research institutes, industrial partners, universities as well as semiconductor suppliers such as material and tool manufacturers. A cleanroom area (cleanroom class 1000) of about 800 m² is available for the research at Fraunhofer CNT as well as an infrastructure which meets industry standards. The main focus is laid on the development of special process steps for the manufacturing of high-density memory chips Number of projects Others EU Qimonda AMD as well as high-performance transistors. Furthermore, the CNT works on the development of new analytic and metrology methods and on the analysis of wafer processing results for which considerable laboratories are available. The institute is known for its competence areas of Analytics, Functional Electronic Materials, Patterning with its E-Beam lithography and Device & Integration. Prof. Dr. Peter Kücher Director Benefiting from synergies between the reference of the manufacturing lines on the basis of latest 300 mm wafer technology as well as of the know-how of our employees, enables us to perform our projects successfully and immediately Personnel Jun 05 Dec 05 Jun 06 Dec 06 Jun 07 Dec 07 Jun 08 Dec 08 Jun 09 Fraunhofer CNT benefits from a wide range of know-how with its personnel structure of scientists and Ph.D. students. Fraunhofer CNT in figures: 800 m² cleanroom 130 m² laboratory 40 cleanroom tools non-scientific personnel scientific personnel 3

4 Analytics Characterization of materials Application Dr. Lutz Wilde Group Manager Analytics The competence area Analytics concentrates on the characterization of materials needed for the fabrication of modern semiconductor chips. It focuses on topics such as the distribution and activity of dopants, properties of surfaces and interfaces, crystallization and phase formation, lateral resolved stress measurements and quantification of impurities. In order to meet the challenges of ongoing miniaturization, we engage in the improvement of existing methods and also in the application of new methods, e. g. atom probe tomography. Working fields: Atom probe tomography TEM (ToF-)SIMS XRD/XRR XPS FIB TXRF Nano-Raman spectroscopy Material analysis via 3D atom probe tomography 3D model of a material sample Ni TiN TiSi 2 Si 4

5 functional electronic materials development of materials Application The aim of the research work is the development of material systems with optimized dielectric properties (high-k) for applications in nanoelectronic components. The major focus is on transistors and capacitors. One of the core competencies is the high-accuracy Atomic Layer Deposition (ALD) of dielectric and conductive layers on 300 mm silicon wafers and other wafer sizes as well as the metallization of copper in the Dual-Damascene process for current and future BEoL technology generations. Dr. Malte Czernohorsky Group Manager Functional Electronic Materials - FEoL malte.czernohorsky@ cnt.fraunhofer.de Working fields: Front End of Line Atomic layer deposition Stressor materials Romy Liske Group Manager Functional Electronic Materials - BEoL Back End of Line Copper wiring Chemical mechanical planarization romy.liske@ cnt.fraunhofer.de ALD deposition tool at the CNT clean room high temperature x-ray analyzis of ALD-HfO 2 layers 5

6 Device & integration Development and integration of nanoelectronic devices Application Volkhard Beyer Group Manager Device & Integration cnt.fraunhofer.de The main research topic of the competence group Device & Integration is the development and integration of nanoelectronic devices. Furthermore, the main focus is on the electrical characterization of semiconductor devices on wafer level such as memory devices (single memory transistors, arrays, and demonstrators) as well as the development of concepts for the integration of new materials and innovative etching processes in process flows in order to fabricate nanoscaled structures. This work is assisted by simulations on device and process level. Working fields: Integration concepts Electrical characterization Development of monitoring programs Device simulation Reliability tests Gossamer Joint European research project for the development of flash memory devices Fraunhofer CNT is involved in that project which is funded by the European Union together with partners like Numonyx, IMEC, ASM-I. The topic of the Gossamer project aims at the development and implementation of next generation Flash memory devices on 300 mm wafers. TANOS Charge Trap layer stack - memory cell transistor 6

7 patterning flexible patterning beyond 50 nm via electron beam lithography Application The competence area of Patterning includes the manufacturing of resistance masks in special photoresists with patterning sizes up to 35 nm and their transfer into the underlying hard mask. Illumination is carried out using mask-free electron beam lithography. The competence area of Patterning focuses on the preparation of customerspecific and application-specific designs and layouts on 200 mm and 300 mm wafers via a modern and flexible direct etching process. Dr. Christoph Hohle Group Manager Patterning christoph.hohle@ cnt.fraunhofer.de Working fields: Resist characterization Structuring Simulation Data Prep Metrology Entire integration into the manufacturing process of semiconductors E-Beam tool for photo resist processing Circuits in aluminiun for 70 nm DRAM applications 7

8 Highlights Atom Probe Tomography A new technology for analyzing semiconductor materials is the atom probe tomography. This technology was successfully established at Fraunhofer CNT. The procedure enables a standardless 3-dimensional composition analysis with atomic resolution that allows the analysis of structures in sub-nanometer range, typically 100 x 100 x 200 nm³. The atom probe tomography is based on the reconstruction of the atom coordinates, including all detected atoms with the appropriate element information. With different mathematical and statistical procedures, numerous information can be obtained from the data, for example to qualify surfaces and interfaces or to identify and quantify nanoscale eliminations and clusters. Atomic Layer Deposition Atomic layer deposition (ALD) is used for the deposition of new dielectrics for memory and logic chips of future generations. A pattern size < 45 nm needs a conformal deposition in 3-dimensional structures with high aspect ratios of 100:1. That makes ALD a key innovation technology. The technology of atomic layer deposition is based on a self limited growth process enabling the deposition of single atomic layers. This technology achieves a superior uniformity of the required material in 3-dimensional architectures and for different layer designs, as for example multilayer systems. Electron Beam Lithograpy The electron beam lithography is a flexible technology for the production of various structures with resolutions down to few nanometers. In that technique, a wafer is coated with an electron sensitive photo resist and an electron beam scans the surface with a typical energy of 50 kev. At the exposed sites, the resist is chemically changed by that energy and a latent image is generated in the resist layer. Subsequently, the resist is developed. Depending on the negative or positive behavior of the chemically amplified resist, the exposed material remains on the wafer or it is removed. This method enables the scientists to provide different designs and layouts without using expensive masks. 8

9 Fraunhofer-Verbund Innovation through Cooperation Mikroelektronik Innovation durch Kooperation Fraunhofer group for microelectronics The Fraunhofer Group for Microelectronics (VμE) coordinates the activities of Fraunhofer institutes working in the fields of microelectronics and microintegration. Fraunhofer CNT is the contact for the business area Technology which consists of two divisions: More Moore and Beyond CMOS. The Group s expertise ranges from classic CMOS technologies to the use of innovative nanotechnologies. Apart from silicon, this also includes compound semiconductors and new materials. Expertise in developing CMOS and other device technologies for microelectronics forms the basis for both, technological services and application-specific component development. VµE Business Areas: Ambient Assisted Living Energy Efficient Systems and emobility Communication and Entertainment Light Security Technology Fraunhofer in Dresden: clustered research Fraunhofer IWU Fraunhofer AVV Fraunhofer IIS Fraunhofer IPMS Fraunhofer IZFP Fraunhofer CNT Fraunhofer institue center Dresden (IZD): - Fraunhofer FEP - Fraunhofer IFAM - Fraunhofer IKTS - Fraunhofer IWS Fraunhofer IVI The Fraunhofer Gesellschaft is represented in Dresden by six institutes and six other facilities. The twelve Fraunhofer facilities together employ more than employees, with an annual turnover of more than 100 million euros. In addition to the extraordinarily high density of research facilities, the region is characterized by the close interlinking of research and industry, resulting in the creation of new first-class services and innovative developments, which then go on to make a global impact. 9

10 Fraunhofer-gesellschaft 60 years of dedication to the future Fraunhofer provides research services to customers in industry and the public sector. Clients are: industry companies service companies public funding authorities Biggest organization for application-oriented research in Europe more than 80 research facilities, thereof 60 Fraunhofer institutes situated all over Germany employees, predominantly qualified natural scientists and engineers 1.5 billion Euro research volume per year, thereof 1.3 billion Euro in the field of contract research Two thirds of this field are generated by orders of industry and public funded research projects. One third, the basic funding is contributed by federal and state authorities. International cooperations through establishments in Europe, USA, Asia and in the Middle East. 10

11 contact Institute Address Fraunhofer Center Nanoelectronic Technologies Königsbrücker Str Dresden Executive Director Prof. Dr. Peter Kücher Head of Administration Katja Böttger Marketing Madlen Scheibe Photo acknowledgements cover: Federal Ministery of Education and Research page 7, left: Vistec Electron Beam GmbH Fraunhofer Center Nanoelectronic Technologies Dresden

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing Applications for Mask-less E-Beam Lithography between R&D and Manufacturing May 24, 2006 Lithography Forum Johannes Kretz Table of Contents E-Beam Lithography at Qimonda in Dresden Project Environment

More information

IMI Labs Semiconductor Applications. June 20, 2016

IMI Labs Semiconductor Applications. June 20, 2016 IMI Labs Semiconductor Applications June 20, 2016 Materials Are At the Core of Innovation in the 21st Century Weight Space Flexibility Heat Management Lightweight Energy Efficient Temperature Energy Efficient

More information

End-of-line Standard Substrates For the Characterization of organic

End-of-line Standard Substrates For the Characterization of organic FRAUNHOFER INSTITUTe FoR Photonic Microsystems IPMS End-of-line Standard Substrates For the Characterization of organic semiconductor Materials Over the last few years, organic electronics have become

More information

One-Stop-Shop for. Research Fab Microelectronics Germany

One-Stop-Shop for. Research Fab Microelectronics Germany Fraunhofer Group for Microelectronics One-Stop-Shop for Technologies and Systems Research Fab Microelectronics Germany The entire added-value chain for microelectronics and nanoelectronics from a single

More information

FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS

FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS The entire added-value chain for microelectronics and nanoelectronics from a single provider The Research Fab Microelectronics

More information

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018.

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018. ASCENT Overview MOS-AK Workshop, Infineon, Munich, 13 th March 2018 European Nanoelectronics Infrastructure Access Paul Roseingrave The Challenge Cost/performance returns by scaling are diminishing Cost

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Materials Characterization Cluster A new European initiative

Materials Characterization Cluster A new European initiative Materials Characterization Cluster A new European initiative Introductrion to characterization cluster s actvities Ehrenfried Zschech, Fraunhofer IKTS Dresden, Germany HORIZON 2020 EUROPEAN UNION FUNDING

More information

FRAUNHOFER INSTITUTE FOR PHOTONIC MICROSYSTEMS IPMS. Application Area. Quality of Life

FRAUNHOFER INSTITUTE FOR PHOTONIC MICROSYSTEMS IPMS. Application Area. Quality of Life FRAUNHOFER INSTITUTE FOR PHOTONIC MICROSYSTEMS IPMS Application Area Quality of Life Overlay image of visible spectral range (VIS) and thermal infrared range (LWIR). Quality of Life With extensive experience

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

IBM Research - Zurich Research Laboratory

IBM Research - Zurich Research Laboratory October 28, 2010 IBM Research - Zurich Research Laboratory Walter Riess Science & Technology Department IBM Research - Zurich wri@zurich.ibm.com Outline IBM Research IBM Research Zurich Science & Technology

More information

microelectronics services high-tech requires high-precision microelectronics services

microelectronics services high-tech requires high-precision microelectronics services ELECTRICAL & ELECTRONICS microelectronics services high-tech requires high-precision microelectronics services WORLDWIDE Analysis, TESTING & CERTIFICATION LOCALLY AVAILABLE worldwide Electrical and electronic

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

RealNano & ACINTECH Projektbeispiele für Nanotechnologie in der Mikroelektronik

RealNano & ACINTECH Projektbeispiele für Nanotechnologie in der Mikroelektronik RealNano & ACINTECH Projektbeispiele für Nanotechnologie in der Mikroelektronik Reinhold Ebner, Anton Köck, Stefan Defregger Materials Center Leoben Forschung GmbH Roseggerstrasse 12 A-8700 Leoben www.mcl.at

More information

Saxony the Organic Electronics State

Saxony the Organic Electronics State Saxony the Organic Electronics State Page 1 Agenda 1. History 2. The situation today: a major cluster in Europe 3. Saxony a dynamic place to be 4. OES at your service 5. Why to join Page 2 Downtown Dresden

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

Tailor-made R&D Services: Our Areas of Application

Tailor-made R&D Services: Our Areas of Application One-Stop-Shop for Technologies and Systems Tailor-made R&D Services: Our Areas of Application Digital Industry: More than Developing Products The traditional industry sector is undergoing a rapid transition

More information

Welcome to. A facility within the Nanometer Structure Consortium (nmc) at Lund University. nanolab. lund

Welcome to. A facility within the Nanometer Structure Consortium (nmc) at Lund University. nanolab. lund lund nanolab Welcome to A facility within the Nanometer Structure Consortium (nmc) at Lund University »It s a dream come true. This is the lab I always dreamt of. I didn t know it would ever exist.«ivan

More information

MEDIA RELEASE INSTITUTE OF MICROELECTRONICS KICKS OFF COPPER WIRE BONDING CONSORTIUM II TO TACKLE COPPER INTERCONNECTS RELIABILITY ISSUES

MEDIA RELEASE INSTITUTE OF MICROELECTRONICS KICKS OFF COPPER WIRE BONDING CONSORTIUM II TO TACKLE COPPER INTERCONNECTS RELIABILITY ISSUES MEDIA RELEASE INSTITUTE OF MICROELECTRONICS KICKS OFF COPPER WIRE BONDING CONSORTIUM II TO TACKLE COPPER INTERCONNECTS RELIABILITY ISSUES 1. Singapore, 25 March, 2013 -- The Institute of Microelectronics

More information

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Recent Developments in Multifunctional Integration Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Founding Participants 2 One-Stop-Shop for developments from wafer technologies

More information

Organic and flexible Electronics in Saxony www.invest-in-saxony.com WElCOME Organic electronics are based on the discovery that specific organic materials possess semiconducting properties. Functional

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Nanostencil Lithography and Nanoelectronic Applications

Nanostencil Lithography and Nanoelectronic Applications Microsystems Laboratory Nanostencil Lithography and Nanoelectronic Applications Oscar Vazquez, Marc van den Boogaart, Dr. Lianne Doeswijk, Prof. Juergen Brugger, LMIS1 Dr. Chan Woo Park, Visiting Professor

More information

What s after NAND? Report No. FI-NFL-3DM-0111

What s after NAND? Report No. FI-NFL-3DM-0111 Report No. FI-NFL-3DM-0111 January 2011 2011 Forward Insights. All Rights Reserved. Reproduction and distribution of this publication in any form in whole or in part without prior written permission is

More information

The Challenge of Metrology in the 450 mm Wafer Transition Process

The Challenge of Metrology in the 450 mm Wafer Transition Process The Challenge of Metrology in the 450 mm Wafer Transition Process Lothar Pfitzner Fraunhofer Institute of Integrated Systems and Device Technology (Fraunhofer-IISB) Erlangen, Germany lothar.pfitzner@iisb.fraunhofer.de

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Fraunhofer Gesellschaft. Fraunhofer in Dresden Clustered Research

Fraunhofer Gesellschaft. Fraunhofer in Dresden Clustered Research Fraunhofer Gesellschaft Clustered Research Foreword Since the political changes of 1990, the region around Dresden, the State Capital of Saxony, has been the venue for a unique success story. Building

More information

Triple i - The key to your success

Triple i - The key to your success Triple i - The key to your success The needs and challenges of today s world are becoming ever more demanding. Standards are constantly rising. Creativity, reliability and high performance are basic prerequisites

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Nanotechnology, the infrastructure, and IBM s research projects

Nanotechnology, the infrastructure, and IBM s research projects Nanotechnology, the infrastructure, and IBM s research projects Dr. Paul Seidler Coordinator Nanotechnology Center, IBM Research - Zurich Nanotechnology is the understanding and control of matter at dimensions

More information

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling imec 2009 1 The Role of European Research Institutes in the 450mm Wafer Transition Process IMEC nanoelectronics platform A Collaborative approach towards 450mm R&D IMEC March 2009 Outline Introduction

More information

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Figure 1 Veeco is driving System on a Chip Technology Frank M. Cumbo, Kurt E. Williams, John

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

NanoFabrication Kingston. Seminar and Webinar January 31, 2017 Rob Knobel Associate Professor, Dept. of Physics Queen s University

NanoFabrication Kingston. Seminar and Webinar January 31, 2017 Rob Knobel Associate Professor, Dept. of Physics Queen s University NanoFabrication Kingston Seminar and Webinar January 31, 2017 Rob Knobel Associate Professor, Dept. of Physics Queen s University What is NFK? It s a place, an team of experts and a service. The goal of

More information

Selected Topics in Nanoelectronics. Danny Porath 2002

Selected Topics in Nanoelectronics. Danny Porath 2002 Selected Topics in Nanoelectronics Danny Porath 2002 Links to NST http://www.foresight.org/ http://itri.loyola.edu/nanobase/ http://www.zyvex.com/nano/ http://www.nano.gov/ http://www.aeiveos.com/nanotech/

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Metal-Semiconductor and Semiconductor Heterojunctions The Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) is one of two major types of transistors. The MOSFET is used in digital circuit, because

More information

The Development of the Semiconductor CVD and ALD Requirement

The Development of the Semiconductor CVD and ALD Requirement The Development of the Semiconductor CVD and ALD Requirement 1 Linx Consulting 1. We create knowledge and develop unique insights at the intersection of electronic thin film processes and the chemicals

More information

THE CHALLENGE OF METROLOGY IN THE 450MM WAFER TRANSITION PROCESS

THE CHALLENGE OF METROLOGY IN THE 450MM WAFER TRANSITION PROCESS THE CHALLENGE OF METROLOGY IN THE 450MM WAFER TRANSITION PROCESS Conference: 450mm in Europe Quo Vadis? October 7, 2009. Martin Schellenberger, Lothar Pfitzner. Fraunhofer IISB. Page 1 THE CHALLENGE OF

More information

Module 2: CMOS FEOL Analysis

Module 2: CMOS FEOL Analysis Module 2: CMOS FEOL Analysis Manufacturer Device # 2 About Chipworks Chipworks is the recognized leader in reverse engineering and patent infringement analysis of semiconductors and electronic systems.

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

RESEARCH FAB MICROELECTRONICS GERMANY (FMD) The Virtual Institute for Combined Microelectronic Research and Development

RESEARCH FAB MICROELECTRONICS GERMANY (FMD) The Virtual Institute for Combined Microelectronic Research and Development RESEARCH FAB MICROELECTRONICS GERMANY (FMD) The Virtual Institute for Combined Microelectronic Research and Development Mircoelectronic development trends Micro- and Nanoelectronics are key enabling technologies

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

IMPACT OF 450MM ON CMP

IMPACT OF 450MM ON CMP IMPACT OF 450MM ON CMP MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING, LLC MCORBETT@LINX-CONSULTING.COM PREPARED FOR CMPUG JULY 2011 LINX CONSULTING Outline 1. Overview of Linx Consulting 2. CMP Outlook/Drivers

More information

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

Common Development Topics for Semiconductor Manufacturers and their Suppliers in Germany

Common Development Topics for Semiconductor Manufacturers and their Suppliers in Germany Common Development Topics for Semiconductor Manufacturers and their Suppliers in Germany SEMICON Europa 2013 TechARENA 1: Secondary Equipment Session Contact: Dr.-Ing. Martin Schellenberger, Fraunhofer

More information

Beyond Moore the challenge for Europe

Beyond Moore the challenge for Europe Beyond Moore the challenge for Europe Dr. Alfred J. van Roosmalen Vice-President Business Development, NXP Semiconductors Company member of MEDEA+/CATRENE/AENEAS/Point-One FIT-IT 08 Spring Research Wien,

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Elpida Memory Inc. B240ABB (die markings), MC77-LL/A (package markings) 46 nm Mobile / Low Power DDR2 SDRAM

Elpida Memory Inc. B240ABB (die markings), MC77-LL/A (package markings) 46 nm Mobile / Low Power DDR2 SDRAM Elpida Memory Inc. B240ABB (die markings), MC77-LL/A (package markings) 46 nm Mobile / Low Power DDR2 SDRAM DRAM Process Report - Preliminary Table of Contents 3 Table of Contents Introduction Major Findings

More information

CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure. John Zacharkow

CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure. John Zacharkow CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure John Zacharkow Overview Introduction Background CMOS Review CMOL Breakdown Benefits/Shortcoming Looking into the Future Introduction

More information

MATERIALS CENTER LEOBEN FORSCHUNG GMBH. Microelectronics CERTIFIED S O EXPERTISE & RELIABILITY

MATERIALS CENTER LEOBEN FORSCHUNG GMBH. Microelectronics CERTIFIED S O EXPERTISE & RELIABILITY MATERIALS CENTER LEOBEN FORSCHUNG GMBH We Innovate Materials Microelectronics EXPERTISE & RELIABILITY I ISO 9001 CERTIFIED S O 0 9 1 0 MATERIALS CENTER LEOBEN MICROELECTRONICS Microelectronics We Innovate

More information

Franco German press release. following the interview between Ministers Le Maire and Altmaier, 18 December.

Franco German press release. following the interview between Ministers Le Maire and Altmaier, 18 December. Franco German press release following the interview between Ministers Le Maire and Altmaier, 18 December. Bruno Le Maire, Minister of Economy and Finance, met with Peter Altmaier, German Federal Minister

More information

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc. All-Glass Gray Scale PhotoMasks Enable New Technologies Che-Kuang (Chuck) Wu Canyon Materials, Inc. 1 Overview All-Glass Gray Scale Photomask technologies include: HEBS-glasses and LDW-glasses HEBS-glass

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

Raman Spectroscopy and Transmission Electron Microscopy of Si x Ge 1-x -Ge-Si Core-Double-Shell Nanowires

Raman Spectroscopy and Transmission Electron Microscopy of Si x Ge 1-x -Ge-Si Core-Double-Shell Nanowires Raman Spectroscopy and Transmission Electron Microscopy of Si x Ge 1-x -Ge-Si Core-Double-Shell Nanowires Paola Perez Mentor: Feng Wen PI: Emanuel Tutuc Background One-dimensional semiconducting nanowires

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

Vertical Nanowall Array Covered Silicon Solar Cells

Vertical Nanowall Array Covered Silicon Solar Cells International Conference on Solid-State and Integrated Circuit (ICSIC ) IPCSIT vol. () () IACSIT Press, Singapore Vertical Nanowall Array Covered Silicon Solar Cells J. Wang, N. Singh, G. Q. Lo, and D.

More information

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline The Road Ahead for Nano-Fabrication

More information

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate 22 Annual Report 2010 - Solid-State Electronics Department 4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate Student Scientist in collaboration with R. Richter

More information

21 st Annual Needham Growth Conference

21 st Annual Needham Growth Conference 21 st Annual Needham Growth Conference Investor Presentation January 15, 2019 Safe Harbor Statement The information contained in and discussed during this presentation may include forward-looking statements

More information

In pursuit of high-density storage class memory

In pursuit of high-density storage class memory Edition October 2017 Semiconductor technology & processing In pursuit of high-density storage class memory A novel thermally stable GeSe-based selector paves the way to storage class memory applications.

More information

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel:

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: Basic Functional Analysis Sample Report 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Basic Functional Analysis Sample Report Some of the information in this

More information

A Brief Introduction to Single Electron Transistors. December 18, 2011

A Brief Introduction to Single Electron Transistors. December 18, 2011 A Brief Introduction to Single Electron Transistors Diogo AGUIAM OBRECZÁN Vince December 18, 2011 1 Abstract Transistor integration has come a long way since Moore s Law was first mentioned and current

More information

M. Senoner 1), Th. Wirth 1), W. E. S. Unger 1), M. Escher 2), N. Weber 2), D. Funnemann 3) and B. Krömker 3) INTRODUCTION

M. Senoner 1), Th. Wirth 1), W. E. S. Unger 1), M. Escher 2), N. Weber 2), D. Funnemann 3) and B. Krömker 3) INTRODUCTION Testing of Lateral Resolution in the Nanometre Range Using the BAM-L002 - Certified Reference Material: Application to ToF-SIMS IV and NanoESCA Instruments M. Senoner 1), Th. Wirth 1), W. E. S. Unger 1),

More information

Microelectronics. Photovoltaics. Applications Smart Systems. Software Network thinking growing together. Content

Microelectronics. Photovoltaics. Applications Smart Systems. Software Network thinking growing together. Content you re welcome! Content x X X X X X X Hibitions Silicon Saxony e. V. as Europe s largest trade association of the semiconductor, photovoltaic, software and smart systems industries unites commercial enterprises,

More information

Thermal Management in the 3D-SiP World of the Future

Thermal Management in the 3D-SiP World of the Future Thermal Management in the 3D-SiP World of the Future Presented by W. R. Bottoms March 181 th, 2013 Smaller, More Powerful Portable Devices Are Driving Up Power Density Power (both power delivery and power

More information

VLSI Design. Introduction

VLSI Design. Introduction Tassadaq Hussain VLSI Design Introduction Outcome of this course Problem Aims Objectives Outcomes Data Collection Theoretical Model Mathematical Model Validate Development Analysis and Observation Pseudo

More information

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM Technology Transfers Opportunities, Process and Risk Mitigation Radhika Srinivasan, Ph.D. IBM Abstract Technology Transfer is quintessential to any technology installation or semiconductor fab bring up.

More information

Case Study: the HTA Alliance

Case Study: the HTA Alliance Case Study: the HTA Alliance Dr. CEO 4-Labs S.A Jean Frederic Clerc VP Carnot Institutes VP CEA-DRT 8-Oct-09 Page 0 Context Europe has a leading position in embedded systems, & embedded systems are more

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

Facing Moore s Law with Model-Driven R&D

Facing Moore s Law with Model-Driven R&D Facing Moore s Law with Model-Driven R&D Markus Matthes Executive Vice President Development and Engineering, ASML Eindhoven, June 11 th, 2015 Slide 2 Contents Introducing ASML Lithography, the driving

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY S. M. SZE National Chiao Tung University Hsinchu, Taiwan And Stanford University Stanford, California ELECTRONIC AND SEMICONDUCTOR INDUSTRIES

More information

Press release II / 2017 Dresdner scientists print tomorrow s world

Press release II / 2017 Dresdner scientists print tomorrow s world Press release II / 2017 Dresdner scientists print tomorrow s world The Fraunhofer IWS Dresden and Technische Universität Dresden inaugurated their jointly operated Center for Additive Manufacturing Dresden

More information

Chapter 2 Silicon Planar Processing and Photolithography

Chapter 2 Silicon Planar Processing and Photolithography Chapter 2 Silicon Planar Processing and Photolithography The success of the electronics industry has been due in large part to advances in silicon integrated circuit (IC) technology based on planar processing,

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

VLSI Design. Introduction

VLSI Design. Introduction VLSI Design Introduction Outline Introduction Silicon, pn-junctions and transistors A Brief History Operation of MOS Transistors CMOS circuits Fabrication steps for CMOS circuits Introduction Integrated

More information

Energy beam processing and the drive for ultra precision manufacturing

Energy beam processing and the drive for ultra precision manufacturing Energy beam processing and the drive for ultra precision manufacturing An Exploration of Future Manufacturing Technologies in Response to the Increasing Demands and Complexity of Next Generation Smart

More information

Advanced Materials Research Center and University Research. Alex Oscilowski Vice President-Strategy SEMATECH

Advanced Materials Research Center and University Research. Alex Oscilowski Vice President-Strategy SEMATECH Advanced Materials Research Center and University Research Alex Oscilowski Vice President-Strategy SEMATECH SEMATECH and University Research Key Partnership Models to Facilitate University Research: Advanced

More information

Fraunhofer Institute for High frequency physics and radar techniques FHR. Unsere Kernkompetenzen

Fraunhofer Institute for High frequency physics and radar techniques FHR. Unsere Kernkompetenzen Fraunhofer Institute for High frequency physics and radar techniques FHR Unsere Kernkompetenzen Unsere Kernkompetenzen KEY TECHnology radar 1 2 ABOUT Fraunhofer FHR As one of the largest radar research

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Recent Trends in Semiconductor IC Device Manufacturing

Recent Trends in Semiconductor IC Device Manufacturing Recent Trends in Semiconductor IC Device Manufacturing August 2007 Dr. Stephen Daniels Executive Director National Centre for Plasma Moore s Law Moore s First Law Chip Density will double ever 18months.

More information

NATIONAL INSTITUTE OF MATERIALS PHYSICS BUCHAREST-MAGURELE

NATIONAL INSTITUTE OF MATERIALS PHYSICS BUCHAREST-MAGURELE Marie Curie Initial Training Network MC-PAD Associated partner NATIONAL INSTITUTE OF MATERIALS PHYSICS BUCHAREST-MAGURELE Atomistilor Str. 105 bis, P.O. Box MG-7, 077125 Magurele-Ilfov, Romania Phone:

More information

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family From Sand to Silicon Making of a Chip Illustrations 32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family April 2011 1 The illustrations on the following foils are low resolution

More information

Fujitsu Laboratories R&D Strategy. April 4, 2008 Kazuo Murano, Ph.D. President Fujitsu Laboratories Ltd.

Fujitsu Laboratories R&D Strategy. April 4, 2008 Kazuo Murano, Ph.D. President Fujitsu Laboratories Ltd. Fujitsu Laboratories R&D Strategy April 4, 2008 Kazuo Murano, Ph.D. President Fujitsu Laboratories Ltd. Our Global R&D Laboratory Model for the 21st Century Science Einstein... Atomic Energy, Moon Landing

More information

Samsung K4H510838C-UCCC 512Mbit DDR SDRAM Structural Analysis

Samsung K4H510838C-UCCC 512Mbit DDR SDRAM Structural Analysis July 26, 2005 Samsung K4H510838C-UCCC 512Mbit DDR SDRAM Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology 3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology by Seung Wook Yoon, *K. T. Kang, W. K. Choi, * H. T. Lee, Andy C. B. Yong and Pandi C. Marimuthu STATS ChipPAC LTD, 5 Yishun Street

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

InGaAs MOSFETs for CMOS:

InGaAs MOSFETs for CMOS: InGaAs MOSFETs for CMOS: Recent Advances in Process Technology J. A. del Alamo, D. Antoniadis, A. Guo, D.-H. Kim 1, T.-W. Kim 2, J. Lin, W. Lu, A. Vardi and X. Zhao Microsystems Technology Laboratories,

More information

Samsung K3PE7E700B-XXC1 3x nm 4 Gbit Mobile DRAM. DRAM Process Report with Custom BEOL and Dopant Analysis

Samsung K3PE7E700B-XXC1 3x nm 4 Gbit Mobile DRAM. DRAM Process Report with Custom BEOL and Dopant Analysis Samsung K3PE7E700B-XXC1 3x nm 4 Gbit Mobile DRAM DRAM Process Report with Custom BEOL and Dopant Analysis Samsung K3PE7E700B-XXC1 3x nm 4 Gbit Mobile DRAM 2 Some of the information in this report may be

More information