Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li

Size: px
Start display at page:

Download "Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li"

Transcription

1 Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Design Service Division, GLOBAL UNICHIP CORP., Taiwan, ROC Xiaopeng Dong, Vassilios Gerousis, Miles Zhang, Yanling Weng, Rose Li IC Design Group, Cadence Design Systems, San Jose, USA Notice of Copyright This material is protected under the copyright laws of the U.S. and other countries and any uses not in conformity with the copyright laws are prohibited. Copyright for this document is held by the creator authors and sponsoring organizations of the material, all rights reserved. This document has been submitted to, and reviewed and posted by, the editors of DAC.com. Please recycle if printed.

2 Page 2 of 8 ARTICLE: Early Substrate Noise Estimation Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung Kai Chen, Albert Li Design Service Division, GLOBAL UNICHIP CORP., Taiwan, ROC Xiaopeng Dong, Vassilios Gerousis, Miles Zhang, Yanling Weng, Rose Li IC Design Group, Cadence Design Systems, San Jose, USA Abstract Making devices smarter and smaller requires the ability to put the entire system on a single chip, where digital and analog design blocks are all integrated on a single chip substrate. Just as timing, die size and power must be considered and addressed in the design, the interdependence of analog and digital must also be properly handled. One of the most significant design challenges is that of signal integrity, where noise caused by digital switching inside the digital section couples through the common substrate and impacts the functionality of analog blocks [1]. It is commonly understood that sensitive analog blocks should be separated from digital blocks, with additional protection required. Modern tools insert guard rings around sensitive blocks, but this increases die size and cost. To address substrate noise coupling and mitigate the substrate noise impact to analog blocks in the early stages of design is an even more challenging task. Obviously, addressing substrate noise coupling in the prototyping stage has the potential to greatly improve quality of silicon and shorten time to market. The difficulties lie in the modeling and characterization of digital switching noise, the fast extraction of substrate, the fast propagation of substrate noise at full-chip scale, and the mitigation of substrate noise based on these analyses. This article describes a substrate analysis and mitigation flow based on the Cadence Encounter Digital Implementation (EDI) system, along with results obtained for a large mixed-signal design in TSMC 90nm process technology. The EDI substrate noise analysis capabilities afford mixed-signal designers the ability to run simulation, observe VCO noise and determine guidelines for the digital block implementation. Additional details will be presented at the 2010 DAC User Track. Index Terms Substrate noise, noise-aware floorplan guidance and mitigation, mixed-signal design prototyping.

3 Page 3 of 8 I. INTRODUCTION The foundation for the contribution reported in this article (and in the forthcoming 2010 DAC User Track) consists of a substrate noise-aware implementation methodology and underlying tool capabilities. Figure 1 illustrates how substrate noise is caused by digital switching inside the digital section, coupled through the common substrate to impact the functionality of analog blocks. Digital VDD in out Digital GND Analog GND N+ P+ P+ N+ N+ P+ P+ N+ N+ N-Well Digital P-Substrate Analog Figure 1: Substrate noise through common substrate. Figure 2: Substrate noise-aware implementation flow. Figure 2 shows three stages of the design flow where substrate noise analysis (SNA) can be performed. The first stage is early in the implementation, when the floorplan and power plan are ready. The second opportunity to perform SNA is after placement and routing. The third stage is after fullchip implementation has been completed, when the designer must extract the full-chip noise model and run simulation to verify whether the IP has the potential to fail. This last analysis is part of systemlevel co-simulation, in that a P/G package model is needed for accurate analysis. At the third stage, because timing and power are fixed, more detailed analysis results accurate parasitics, clean

4 Page 4 of 8 constraints, etc. and hence more accurate SNA results are possible. In Figure 2, if there is too large a substrate noise value after the floorplan and power planning stage, we would need to change our design in stage A to meet the design criteria. If there is a large substrate noise value after placement and routing, we can reduce this in stage A or B. And, if we have a large substrate noise value after timing and power have been closed, we can mitigate this in stage B or C. Changing the design in a later stage entails greater effort to finish (close) the design. Another available solution to fix substrate noise is to add a guard ring around sensitive analog blocks or noise sources. Although some tools exist for characterization of substrate noise, these tools are normally targeted to later design stages and used for analog IP characterization. These tools also lack the capacity to handle large mixed-signal ICs. In general, there are four issues that must be solved in order to overcome the substrate noise problem for large mixed signal ICs: 1) digital noise characterization; 2) substrate modeling and substrate noise estimation; 3) evaluation of analog IPs in the light of substrate noise; and 4) substrate noise prevention. Dynamic Rail Analysis Substrate Noise Analysis Figure 3: Substrate noise analysis step. Figure 4: Tile model correlation. The substrate noise aware implementation flow shown in Figure 3 provides solutions to all the above four issues. Dynamic rail analysis is used to compute the digital noise injection. In the early design stage, even with partial data, designers can utilize a tool such as Cadence s ERA (early rail analysis) to perform dynamic rail analysis for digital noise injection characterization. The flow also uses the concept of a tile model and a tile model pre-characterization approach. This makes substrate

5 Page 5 of 8 modeling and noise estimation easier to accomplish in an implementation flow. The tile model can be extracted using tools such as Cadence QRC RF, based on the foundry s process design kit (PDK). Validation against golden tools such as QRC RF using test structures has been performed, with good results. (QRC RF has been silicon-qualified on advanced process nodes at various foundries.) Figure 4 shows the quality of correlation between the tile model based analysis and QRC RF. The difference is within 2dB even through distances up to 2000um. With respect to substrate noise analysis (SNA), three major capabilities are provided. (1) The first capability is the intuitive noise contour map which helps designers to handle the many different design aspects that impact substrate noise. A substrate constraint file can help bring characterized noise tolerance information into this design flow. (2) The second capability is for floorplan guidance. In applying the substrate noise aware implementation flow at early design stage, we have demonstrated how a substrate noise contour map can be computed and used as a guide for floorplan exploration and DC source assignments. (3) The third capability involves a virtual guard ring. By exploiting the ability to estimate guard ring impact on substrate noise, we have created a technique whereby the tool is used to determine the width of a guard ring that would help to maximize the noise reduction while preserving as much chip area as possible. Beyond generating a substrate noise contour map, the tool can also provide FFT-based frequency analysis for propagated noise waveforms and noise source waveforms. Designers can relate the noise to required noise tolerance at specific frequencies. Preliminary Power Planning Power-aware DFT Power planning Power Switch Placement Planning VCD pattern Timing Window 1st Placement power analysis Auto-fixing No Meet? Yes CTS/Post-route Meet VCD pattern Timing Window 2nd power analysis Traditional Flow Simulation-free Dynamic IR Prediction Static/Transient Power Analysis No Meet? Yes Meet Decap insertion lengthy loop Decap insertion Power SwitchOpt. Power Domain Check (UPDC) CTS/Post-route Timing Optimization Figure 5: Dynamic IR prevention. Because substrate noise results are highly related to dynamic rail results, dynamic IR prevention can also help to reduce substrate noise. Reference [2] proposes a dynamic IR prevention flow to improve chip yield. Figure 5 shows a complete solution for dynamic IR prevention. II. SUMMARY OF RESULTS A large mixed-signal design based on TSMC 90nm process has been used to validate our flow and methodology. The design goal is to mitigate substrate coupling for the sensitive on-chip analog IPs, especially the PLL blocks. The design parameters that can be adjusted are floorplan, DC source location, and guard ring. The reported results are obtained using the Cadence EDI system including

6 Page 6 of 8 EPS and ERA for dynamic rail analysis and EDI SNA for substrate noise analysis. All results that we discuss in the following are generated for this testcase design implemented in TSMC 90nm process. Table 1 shows testcase parameters. All runtimes correspond to a 2.6GHz AMD dual-core Opteron with 16G memory. Table 1 Testcase Parameters. Process TSMC 90nm LP Die Size 3700um x 3700um Instance count 100K VDD/VSS Power/Ground Nets VDDA/VSSA VDDD/VSSD QRC RF [1] is a golden analysis tool for substrate noise. Table 2 shows that EDI SNA and QRC RF have almost the same runtime for substrate noise analysis. However, EDI SNA can handle a larger design size than QRC RF. Due to runtime (turnaround time), we do not use QRC RF for chiplevel substrate analysis. Table 2 Runtime Comparison. EDI SNA QRC RF Size 100K Cells 3.6K devices Run Time 135 minutes 120 minutes Clean room for analog block Noisy region Figure 6: Low substrate noise impact in dotted circle. (a) Max substrate Noise Value: mV Max dynamic IR drop value: 7.69% (b) Figure 7: (a) Substrate noise analysis result; (b) dynamic rail analysis result.

7 Page 7 of 8 Max substrate Noise Value: 59.91mV Max dynamic IR drop value: 5.25% Add DC source (a) (b) Figure 8: (a) Substrate noise analysis result; (b) dynamic rail analysis result with addition of one DC source in dotted circle. The first set of results demonstrate the generation of substrate noise contour map for the full chip including the impact of digital package, analog ground network and analog package loading. These are based on both EPS and ERA dynamic rail analysis results for digital noise injection, and show correlated substrate noise results (see Figure 6). The SNA contour map is useful for identifying quiet areas for possible analog block placement in the floorplan stage. The second set of results demonstrates floorplan improvement to mitigate the substrate noise as well as the use of DC sources to mitigate excessive noise injection due to ground bounce. Table 3 Dynamic IR and Substrate Noise Results for Figures 7 and 8. Fig. 7 Fig. 8 Max. IR drop 7.69% 5.25% Max. Substrate Noise 90.95mV 59.91mV We see that the power plan should be taken into account, since a better dynamic rail result corresponds to a better substrate noise result. In Figure 7, the worst/best locations of substrate noise are similar to these locations identified in the dynamic rail analysis. Table 3 summarizes the dynamic IR and substrate noise results of Figure 7 and Figure 8. PLL LDO LDO PLL Min noise: 64.3mV Max noise: 72.15mV Delta noise: 7.85mV (a) Min. noise: 59.41mV Max. noise: 77.12mV Delta noise: 17.71mV (b) Figure 9: (a) SNA result with old floorplan; (b) SNA result with new floorplan.

8 Page 8 of 8 Enlarge virtual guard ring (a) Noise (mv) The most efficient guard ring width Width (um) (b) Enlarge virtual guard ring (c) Figure 10: (a) Substrate noise result with 100um virtual guard ring width; (b) minimum substrate noise in with different virtual guard ring width; (c) substrate noise result with 10um virtual guard ring width. Figure 9 shows the change in worst dynamic rail results after changing the design floorplan. As noted above, the worst dynamic rail result has a strong correspondence to the worst substrate noise result. We observe that changing the floorplan might not improve the substrate noise result directly if the power grid design is bad (it may hurt the dynamic rail result). Table 4 summarizes the dynamic IR and substrate noise results of Figure 9, as well as the result of Figure 10(c) discussed in the following. Table 4 Dynamic IR and Substrate Noise Results for Figure 9. Fig. 9 (a) Fig. 9 (b) Fig. 10 (c) Max. IR Drop 7.69% 8.29% 7.69% Max. Substrate Noise 72.15mV 77.12mV 17.13mV Max. Substrate Noise 90.95mV 98.68mV 90.95mV A third set of results demonstrates the use of the virtual guard ring capability for area-effective reduction of substrate noise to sensitive IPs. A set of noise reduction curves against different loadings of observation points inside the virtual guard ring helps identify the tradeoff between substrate noise reduction and preservation of chip area resource (see Figure 10). III. CONCLUSION We have described a substrate noise-aware implementation flow that can be applied at a full-chip level. Floorplan guidance is provided at the prototype stage according to a substrate noise contour map. Because substrate noise is closely related to the dynamic rail result, we can reduce substrate noise by reducing dynamic rail noise. What-if analysis obtains a substrate noise result with a virtual guard ring, allowing determination of an effective guard ring width. Further, with pre-characterized noise sensitivity of a given analog block, the SoC designer may not need to feed the noise waveform to the analog block with time-consuming SPICE simulations. REFERENCES [1] A. Afzali-Kusha, M. Nagata, N. K. Verghese and D. J. Allstot, Substrate Noise Coupling in SoC Design: Modeling, Avoidance, and Validation, Proceedings of the IEEE 94 (2006), pp [2] S.-H. Chen, K.-C. Chu, J.-Y. Lin and C.-H. Tsai, DFM/DFY Practices During Physical Designs for Timing, Signal Integrity, and Power, Proc. Asia and South Pacific Design Automation Conference, 2007, pp [3] Cadence Design Systems, Inc., Parasitic Extraction and Postlayout Verification for RF/Wireless Designs, Workshop Manual, April 2007.

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

Noise Constraint Driven Placement for Mixed Signal Designs. William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting

Noise Constraint Driven Placement for Mixed Signal Designs. William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting Noise Constraint Driven Placement for Mixed Signal Designs William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting Introduction OUTLINE Substrate Noise: Some Background Substrate Noise Network

More information

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT Hagay Guterman, CSR Jerome Toublanc, Ansys Speakers Hagay Guterman, CSR Hagay Guterman is a senior signal and power integrity

More information

Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID

Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID Kyoungchoul Koo, Hyunjeong Park, Yujeong Shim and Joungho Kim Terahertz Interconnection and Package Laboratory, Dept.

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC Bud Hunter, SerDes Analog IC Design Manager, Wipro Kelly Damalou, Sr. Technical Account Manager, Helic TSMC

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Signal integrity means clean

Signal integrity means clean CHIPS & CIRCUITS As you move into the deep sub-micron realm, you need new tools and techniques that will detect and remedy signal interference. Dr. Lynne Green, HyperLynx Division, Pads Software Inc The

More information

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS -Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS Jiajun Shi, Mingyu Li and Csaba Andras Moritz Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA,

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

Managing Cross-talk Noise

Managing Cross-talk Noise Managing Cross-talk Noise Rajendran Panda Motorola Inc., Austin, TX Advanced Tools Organization Central in-house CAD tool development and support organization catering to the needs of all design teams

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Substrate Level Noise Analysis Tool (SNAT) in Mixed Signal circuits

Substrate Level Noise Analysis Tool (SNAT) in Mixed Signal circuits Substrate Level Noise Analysis Tool (SNAT) in Mixed Signal circuits Anish joseph Research Scholar Abstract: There exist several tools that can be used to predict the substrate noise profile of digital

More information

Signal Integrity Management in an SoC Physical Design Flow

Signal Integrity Management in an SoC Physical Design Flow Signal Integrity Management in an SoC Physical Design Flow Murat Becer Ravi Vaidyanathan Chanhee Oh Rajendran Panda Motorola, Inc., Austin, TX Presenter: Rajendran Panda Talk Outline Functional and Delay

More information

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Signal Integrity Modeling and Simulation for IC/Package Co-Design Signal Integrity Modeling and Simulation for IC/Package Co-Design Ching-Chao Huang Optimal Corp. October 24, 2004 Why IC and package co-design? The same IC in different packages may not work Package is

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Bruce Tseng Faraday Technology Cor. Hsinchu, Taiwan Hung-Ming Chen Dept of EE National Chiao Tung U. Hsinchu, Taiwan April 14, 2008

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC DesignCon 2017 Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC Kwangseok Choi, Samsung Electronics Inc. [aquarian505@gmail.com] Byunghyun Lee, Samsung Electronics Inc.

More information

Substrate noise full-chip level analysis flow from early design stages till tapeout

Substrate noise full-chip level analysis flow from early design stages till tapeout DesignCon 2015 Substrate noise full-chip level analysis flow from early design stages till tapeout Hagay Guterman, CSR Jerome Toublanc, Ansys Abstract As SOCs integrate more analogue and RF IPs together

More information

Efficient Multi-domain ESD Analysis and Verification for Large SoC Designs

Efficient Multi-domain ESD Analysis and Verification for Large SoC Designs Efficient Multi-domain ESD Analysis and Verification for Large SoC Designs Norman Chang, Youlin Liao, Ying-Shiun Li, Pritesh Johari, Aveek Sarkar Apache Design Solutions, Inc., 2645 Zanker Road, San Jose,

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Authors: Rick Brooks, Cisco, ricbrook@cisco.com Jane Lim, Cisco, honglim@cisco.com Udupi Harisharan, Cisco,

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen Si-Interposer Collaboration in IC/PKG/SI Eric Chen 4/Jul/2014 Design Overview U-bump Logic IC Mem IC C4 bump Logic IC Silicon/Organic substrate Interposer Mem IC CAP Package substrate Solder Ball VRM BGA

More information

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Art Schaldenbrand, Dr. Walter Hartong, Amit Bajaj, Hany Elhak, and Vladimir Zivkovic, Cadence While the analog and mixed-signal

More information

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise? Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

A Built-In-Test Circuit for Functional Verification & PVT Variations Monitoring of CMOS RF Circuits

A Built-In-Test Circuit for Functional Verification & PVT Variations Monitoring of CMOS RF Circuits A Built-In-Test Circuit for Functional Verification & PVT Variations Monitoring of CMOS RF Circuits Guoyan Zhang, Magdalena Sánchez Mora, Ronan Farrell Institute of Microelectronics and Wireless Systems

More information

ISSN:

ISSN: High Frequency Power Optimized Ring Voltage Controlled Oscillator for 65nm CMOS Technology NEHA K.MENDHE 1, M. N. THAKARE 2, G. D. KORDE 3 Department of EXTC, B.D.C.O.E, Sevagram, India, nehakmendhe02@gmail.com

More information

A 6 th Order Ladder Switched-Capacitor Bandpass Filter with a center frequency of 10 MHz and a Q of 20

A 6 th Order Ladder Switched-Capacitor Bandpass Filter with a center frequency of 10 MHz and a Q of 20 A 6 th Order Ladder Switched-Capacitor Bandpass Filter with a center frequency of 10 MHz and a Q of 20 Joseph Adut,Chaitanya Krishna Chava, José Silva-Martínez March 27, 2002 Texas A&M University Analog

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels

PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels Zhichun Wang, Xiaolue Lai and Jaijeet Roychowdhury Dept of ECE, University of Minnesota, Twin

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University By: K. Tripurari, C. W. Hsu, J. Kuppambatti, B. Vigraham, P.R. Kinget Columbia University For

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

Using GoldenGate to Verify and Improve Your Designs Using Real Signals

Using GoldenGate to Verify and Improve Your Designs Using Real Signals Using GoldenGate to Verify and Improve Your Designs Using Real Signals Enabling more complete understanding of your designs Agilent EEsof EDA 1 Outline What problems do designers face? Main point of this

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

None Operational Amplifier (OPA) Based: Design of Analogous Bandgap Reference Voltage

None Operational Amplifier (OPA) Based: Design of Analogous Bandgap Reference Voltage Article None Operational Amplifier (OPA) Based: Design of Analogous Bandgap Reference Voltage Hao-Ping Chan 1 and Yu-Cherng Hung 2, * 1 Department of Electronic Engineering, National Chin-Yi University

More information

MHz phase-locked loop

MHz phase-locked loop SPECIFICATION 1 FEATURES 50 800 MHz phase-locked loop TSMC CMOS 65 nm Output frequency from 50 to 800 MHz Reference frequency from 4 to 30 MHz Power supply 1.2 V CMOS output Supported foundries: TSMC,

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

On the Interaction of Power Distribution Network with Substrate

On the Interaction of Power Distribution Network with Substrate On the Interaction of Power Distribution Network with Rajendran Panda, Savithri Sundareswaran, David Blaauw Rajendran.Panda@motorola.com, Savithri_Sundareswaran-A12801@email.mot.com, David.Blaauw@motorola.com

More information

CAPLESS REGULATORS DEALING WITH LOAD TRANSIENT

CAPLESS REGULATORS DEALING WITH LOAD TRANSIENT CAPLESS REGULATORS DEALING WITH LOAD TRANSIENT 1. Introduction In the promising market of the Internet of Things (IoT), System-on-Chips (SoCs) are facing complexity challenges and stringent integration

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

Design and Application of Triple-Band Planar Dipole Antennas

Design and Application of Triple-Band Planar Dipole Antennas Journal of Information Hiding and Multimedia Signal Processing c 2015 ISSN 2073-4212 Ubiquitous International Volume 6, Number 4, July 2015 Design and Application of Triple-Band Planar Dipole Antennas

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis Micro Chang htc Michael_Chang@hTC.com Jan 9, 2019 X 1 Agenda Jitter-aware target impedance of power delivery network

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Overview and Challenges

Overview and Challenges RF/RF-SoC Overview and Challenges Fang Chen May 14, 2004 1 Content What is RF Research Topics in RF RF IC Design/Verification RF IC System Design Circuit Implementation What is RF-SoC Design Methodology

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

Appendix. RF Transient Simulator. Page 1

Appendix. RF Transient Simulator. Page 1 Appendix RF Transient Simulator Page 1 RF Transient/Convolution Simulation This simulator can be used to solve problems associated with circuit simulation, when the signal and waveforms involved are modulated

More information

Emulating and Diagnosing IR-Drop by Using Dynamic SDF

Emulating and Diagnosing IR-Drop by Using Dynamic SDF Emulating and Diagnosing IR-Drop by Using Dynamic SDF Ke Peng *, Yu Huang **, Ruifeng Guo **, Wu-Tung Cheng **, Mohammad Tehranipoor * * ECE Department, University of Connecticut, {kpeng, tehrani}@engr.uconn.edu

More information

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011 Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design Sonnet Application Note: SAN-201B July 2011 Description of Sonnet Suites Professional Sonnet Suites Professional is an industry leading full-wave

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Design Considerations for 5G mm-wave Receivers. Stefan Andersson, Lars Sundström, and Sven Mattisson

Design Considerations for 5G mm-wave Receivers. Stefan Andersson, Lars Sundström, and Sven Mattisson Design Considerations for 5G mm-wave Receivers Stefan Andersson, Lars Sundström, and Sven Mattisson Outline Introduction to 5G @ mm-waves mm-wave on-chip frequency generation mm-wave analog front-end design

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275 Single Event Effects in a 0.25 µm Silicon-On-Sapphire CMOS Technology Wickham Chen 1, Tiankuan Liu 2, Ping Gui 1, Annie C. Xiang 2, Cheng-AnYang 2, Junheng Zhang 1, Peiqing Zhu 1, Jingbo Ye 2, and Ryszard

More information

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Nanometer technologies make designers aware

More information

Noise Analysis of Phase Locked Loops

Noise Analysis of Phase Locked Loops Noise Analysis of Phase Locked Loops MUHAMMED A. IBRAHIM JALIL A. HAMADAMIN Electrical Engineering Department Engineering College Salahaddin University -Hawler ERBIL - IRAQ Abstract: - This paper analyzes

More information

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM 28nm and below: New Frontiers and Innovations in Design for Manufacturing Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM Outline Challenges Variability and the Limits of IC Geometrical Scaling Methodology

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

print close Chris Bean, AWR Group, NI

print close Chris Bean, AWR Group, NI 1 of 12 3/28/2016 2:42 PM print close Microwaves and RF Chris Bean, AWR Group, NI Mon, 2016-03-28 10:44 The latest version of an EDA software tool works directly with device load-pull data to develop the

More information

Analog-to-Digital Converter Performance Signoff with Analog FastSPICE Transient Noise at Qualcomm

Analog-to-Digital Converter Performance Signoff with Analog FastSPICE Transient Noise at Qualcomm Analog-to-Digital Converter Performance Signoff with Analog FastSPICE Transient Noise at Qualcomm 2009 Berkeley Design Automation, Inc. 2902 Stender Way, Santa Clara, CA USA 95054 www.berkeley-da.com Tel:

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

FOR THE MOST CHALLENGING TELECOM AND WIRELESS DESIGNS

FOR THE MOST CHALLENGING TELECOM AND WIRELESS DESIGNS Eldo RF High-Performance RF IC Verification Analog/Mixed-Signal Verification D A T A S H E E T Key Benefits Full-chip RF IC verification for wireless applications Seamless integration into Mentor and other

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS Marc van Heijningen, John Compiet, Piet Wambacq, Stéphane Donnay and Ivo Bolsens IMEC

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

An Efficent Real Time Analysis of Carry Select Adder

An Efficent Real Time Analysis of Carry Select Adder An Efficent Real Time Analysis of Carry Select Adder Geetika Gesu Department of Electronics Engineering Abha Gaikwad-Patil College of Engineering Nagpur, Maharashtra, India E-mail: geetikagesu@gmail.com

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Fall 2017 Project Proposal

Fall 2017 Project Proposal Fall 2017 Project Proposal (Henry Thai Hoa Nguyen) Big Picture The goal of my research is to enable design automation in the field of radio frequency (RF) integrated communication circuits and systems.

More information

Mixed Signal Virtual Components COLINE, a case study

Mixed Signal Virtual Components COLINE, a case study Mixed Signal Virtual Components COLINE, a case study J.F. POLLET - DOLPHIN INTEGRATION Meylan - FRANCE http://www.dolphin.fr Overview of the presentation Introduction COLINE, an example of Mixed Signal

More information

Comparison of IC Conducted Emission Measurement Methods

Comparison of IC Conducted Emission Measurement Methods IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 3, JUNE 2003 839 Comparison of IC Conducted Emission Measurement Methods Franco Fiori, Member, IEEE, and Francesco Musolino, Member, IEEE

More information

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 01, 2014 ISSN (online): 2321-0613 A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power

More information

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc.

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. February 2014 Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. Low Noise Amplifiers (LNAs) amplify weak signals received by the antenna in communication systems.

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information