Managed Variability Present and Future of Design-Process Integration from 32nm to 22nm and beyond

Size: px
Start display at page:

Download "Managed Variability Present and Future of Design-Process Integration from 32nm to 22nm and beyond"

Transcription

1 Managed Variability Present and Future of Design-Process Integration from 32nm to 22nm and beyond Luigi Capodieci, Ph.D. R&D Fellow Luigi DFM Capodieci, Ph.D. R&D Fellow Managed Variability and DFM

2 Outline: Variability Taxonomy of Variability Lithography Induced variability Mask, Proximity, Stepper, Resist, Wafer Design Effects, Mitigation Activities Other Process Induced Variability: Gate Dielectric, CMP, Stress, Thermal Impact of Variation: Random versus Systematic DFM: A systematic approach to managed variability Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 2

3 Outline: DFM A brief history of DFM Yield and Design Rules at 45, 32 and 22 nm Design For Manufacturability (DFM) Layout Printability Verification (across PW) Holistic Design Rules Selection/Optimization Towards Design Layout Regularity Advanced DFM Applications Conclusions: DFM enabling 22 nm and below Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 3

4 Variability Basics [I] At the limits of the geometric scaling roadmap : variability as a percentage of feature size increases: atoms don t scale kt/q doesn t scale photons don t scale Main factors: Intrinsic process variability Environmental effects Physical limits Variability affects Yield, Performance, and Power Variability can be classified as random and systematic Physical limits cause random variations (e.g. dopant fluctuations) Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 4

5 Variability Basics [II] Variability occurs at different length scales Across a single transistor (or wire) Transistor to transistor Across Die Across Wafer Wafer to Wafer Lot to Lot Often systematic effects are considered random only because it is difficult (or impossible) to characterize them Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 5

6 Lithography related variability Scanner Design Mask/OPC Wafer Resist Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 6

7 dev Mask related variability Mask Process Etch and develop signatures Loading density dependent Mask Proximity Effects E-beam exposure noise Range: Stepper Field (across die), Gate-to Gate Magnitude: Total 5-10nm on mask (1.5-2nm on wafer) Linearities CD Target dense clear iso clear dense dark iso dark Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 7

8 OPC related variability OPC Errors, Model Accuracy, Algorithm Convergence Model Errors: Lead to systematic CD errors, e.g. through pitch OPC Convergence: Mask making limits or numerical errors lead to CD errors (quasi-random) Post OPC CD through pitch Range: Gate to Gate Systematic and random Magnitude: 2nm for restricted pitches, 5-10nm for all pitches Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 8

9 Wafer related variability Variation Across Scanner Field Causes: Focus Leveling, Dose Uniformity, Lens Aberrations (additional influence from mask) Range: Across Field (Across Die), Die to Die Systematic Magnitude: 1-2nm Top Right Die Shows Low CD Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 9

10 Resist related variability Line Edge Roughness (LER) CD Variation along Line Edge Dependent on resist type, resist processing, resist etch Range: Intra-Gate W=100nm Random Magnitude: depends on length scale, 1nm-3nm (1s) CD vs tgt (nm) W=1000nm CD vs tgt (nm) Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 10

11 Edge Placement Error (nm) Edge Placement Error (nm) Design related variability Layout Regularity OPC can minimize CD variation at one focus position for different layout styles Range: Gate to Gate Magnitude: 3-5nm Both systematic and random Mitigation: Uniform Layout reduces CD variation through-focus Smaller Variation of Average CD Smaller Variation of CD range Conventional Layout Defocus (um) CD Variation Post-OPC (10,000 gates simulated) Restricted Design Rules Defocus (nm) Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 11

12 CMP related variability Metal layers of modern submicron process are chemical-mechanically polished. CMP is a process that has all types of variations: Lot to lot (systematic) wafer to wafer (systematic) Within wafer (systematic) Within die (quasi-random) Except for some- within-die variations, all of these are uncorrelated from metal level to metal level Variations in thickness can be very significant, easily up to 50% variations in thickness and hence R and C However, essentially all of these variations are uncorrelated from layer to layer Moreover, R max correlates with C min, and vice versa: you never get the case of C max *R max or C min * R min R/um C/um RC/um^2 short nom tall nm fine pitch metal, arbitrary units Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 12

13 CMP variability mitigation: Tiling TILING Helps balancing low density regions & reducing standard deviation Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 13

14 Temperature related variability Variations in temperature can have significant impact on device performance and reliability W These variations can be very local (transistor self-heating) Or semi-global: block-level caused by high activity factor High temperatures raise Vt, lower Ion, raise Ioff Ion only varies ~10% between 25 and 100C Ioff can vary 5-10X This effect is deterministic in an ideal world (temp effects are in the models); in the real world, quasi-random Engineering judgement has to be used to evaluate circuits and blocks that are vulnerable to heating. Temperature effects are more important for reliability than for performance. Can have exponential impacts on Gate oxide lifetime, EM All fingers on L Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 14

15 Patterning: NOT a small challenge 65nm metal pattern Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 15

16 Lithography Process Costs Costs of Single tool increasing by ~3x every 5 years Scanner for 45nm node costs $40m Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 16

17 Lithography Costs Per Unit Area Wafer Size increases Scanner Throughput (wafers per hour) increases Net: cost per unit area declined down to 65nm node Increasing for 45 and 32nm nodes Supports relatively constant cost per chip Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 17

18 Lithography costs Per Transistor Rapidly decreasing cost per transistor fuels semiconductor device and product innovation Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 18

19 Technology Options at Technology Nodes (DRAM Half Pitch, nm) First Year of IC Production IPL, PEL, PXL nm + PSM 193 nm Strong Motivation for DFM: EUV will NOT be available 193 nm + PSM 157 nm for the next 2 technology nodes 157 nm 65 EUV, EPL ML2 IPL, PEL, PXL EUV Narrow Options WaveLength=193nm DRAM Half Pitch (Dense Lines) 45 EPL ML2 Narrow Options IPL, PEL, PXL EUV 32 EPL ML2 Narrow Options IPL, PEL, PXL EUV, EPL ML2 Narrow 22 Innovative Technology Options IPL, PEL, PXL Historical Chart (2001 A.D.) Research Required Development Underway Qualification/Pre-Production This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 19

20 A Brief (Evolutionary) History of DFM [I] Traditional Design Rules Set of geometrical constraints, necessary to guarantee yield, defined over polygonal shapes and edges in the layout The Design Rule Manual mediates among: DESIGN TECHNOLOGY FAB Two Types of DR: 1. Restrictive 2. Prescriptive 250nm, 180 nm, Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 20

21 A Brief (Evolutionary) History of DFM [II] Rule-Based Optical Proximity Correction Tables of corrections (edge movements, polygon addition and subtraction) to pre-compensate for fabrication effects and distortions, functions of (DISTANCE and SIZE) Although NOT coded in the Design Rule Manual RB-OPC is conceptually analogous to Design Rules and also implemented using same DRC engines 180 nm, 130 nm, Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 21

22 A Brief (Evolutionary) History of DFM [III] Model-Based Optical Proximity Correction (1) - Edge Fragmentation/Segmentation (2) - Iteratively: (3) Local Process Simulation (4) - Edge Movement (Correction) (5) Evaluate Edge Placement Error(s) Both Model Based And Rule-Based (geometrical) Checks 130 nm, 90 nm, 65 nm ENABLER: Layout Printability Verification Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 22

23 DFM= [DR s] + RET + (RB-OPC) + (MB-OPC) Line-End Pull-Back RET: allows for resolution, but induces proximity distortions Non-Matching Transistor Gates Necking Line-End Pull-Back Loss of Pattern Fidelity What else is needed at 32, 22 nm and below? OPC: enables RET, by restoring pattern fidelity and process window CD Control for Transistor Gates Pattern Fidelity Process/Variability-Aware Design Flows Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 23

24 Elements of DFM The Design Rules Manual at the core of DFM: Managing DR complexity Process Modeling: Geometry to Patterns to Devices to Circuits to Functions Process Variability Awareness in the Design Flow The new DFM flows: Layout Printability (Hot-Spots) Verification and Optimization Process-aware routing and synthesis Regular Fabrics/Circuits Design-Driven Metrology Top 5+1 DFM Challenges for 22nm and beyond Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 24

25 DFM Information Flows Architecture/Logic Design Circuit Design Physical Layout (GDSII/OASIS) Design Rule Verification DFM (LVS,DRC) Layout Tape-Out and Fracturing Mask Fabrication Silicon Patterning (SEM Metrology) Activation/Passivation/Intercon.,etc. Electrical Parametric Testing FAB E D A M D A Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 25

26 Inserting DFM into the design flow Process Variability Aware System Architectures IC Fab Mask Secondary DFM Insertion Primary DFM Insertion Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 26

27 Definition: DFM Design For Manufacturability (DFM): Integrated and Automated Set of Design, Simulation and Verification Methodologies 5 Objectives: i. Augment and guarantee the manufacturability of Circuit and Physical Layout Designs (Process Latitude and Yield) ii. iii. iv. Analyze the impact of CD variations and pattern fidelity on the electrical and functional performance Support/validate the selection of a Design Rules (DR) set Reduce device sensitivity to process variations v. Optimize Cost (across Design to Fabrication Flow) DFM developed as a Super-Set of DRC, RET and OPC techniques, extended to the Design and Physical Verification space Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 27

28 LOG Fixed Font-Size Design Rules Complexity Pages in a Design Rule Manual pages? 22 nm 32 nm nm pages YEAR Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 28

29 Layout dependent Yield Yield 100% Traditional Design Rule 0% 0 allowed minimum space Same Design Rule for different layout features: due to complex OPC, Optical/Process effects, etc. Feature-Feature Space Courtesy of Kevin Lucas (Freescale, Synopsys) Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 29

30 Yield vs. Design Rules at 45, 32 and 22 nm DRC Clean Manufacturable Process Window Design-Rules Compliance does NOT guarantee Yield due to: Non-Linearity Effects Induced by Sub-Wavelength Fabrication. Furthermore OPC cannot fix all Yield Limiters configurations DRC Clean Process Window - Yield Limiters Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 30

31 DFM Fundamental Building Block Si-Calibrated Process Simulator To DESIGN Design Layout (GDSII/OASIS) Integrated into a verification (DRC) software environment, with a suitable programming (or scripting) language Full-Chip CD Error Map Statistical Count To FAB Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 31

32 Modeling: Levels of Abstractions Microns 1-20 Nanometers 5-15 Microns Angstroms 35,000 Microns (35 mm) Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 32

33 Classes of Design Rules Corner Rounding Line-End Pull-Back Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 33

34 DFM Optimization of Design Rules [I] P1 P2 P3 P4 P5 P6 P7 Definition of Parametric Design Rule Min Max Step n P P P P P P P Total Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 34

35 DFM Optimization of Design Rules [II] Acceptable design space Simulated Parametric Space of DR+RET+OPC Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 35

36 Layout Printability Verification Process Variability Bands across Focus, Exposure, Mask Variations Process Variability Check/Flag Potential Yield Limiter Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 36

37 Printability Verification Flow SPIE 2009 Paper Jason Cain Scalability Metrics INPUT Design Layout (drawn) Silicon Target Shapes OPC Mask Shapes Process Window Simulation Measure & Characterize Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 37

38 Lithography-Driven Layout Verification and Optimization Single-Layer Multi-Layer Layout Verification and Optimization Based on Flexible Design Rules J. Yang, L. Capodieci [SPIE Microlithography, 2006: ] Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 38

39 DFM Design Analysis Application IC Design A Advanced Patterning Model Imaging Resist Etch. IC Design B CD Error IC Design B IC Design C CD Error IC Design C Full Chip Manufacturability Verification and Analysis IC Design A CD Error Design refers to Physical Layout and also Circuit Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 39

40 Design Layout Regularization ( manual ) > A nm > B nm >C nm Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 40

41 Courtesy: Lars Liebmann (IBM) Layout Re-Design Trend (65nm to 45 nm) conventional inverter 'litho'-redesign proper-redesign Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 41

42 Manual Layout Re-Design using a Layout Printability Verification Flow 32nm Metal-1 Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 42

43 Restricted Design Rules: since 65 nm Single Poly Pitch 90nm 65nm Restricted Design Rules (single pitch, single orientation) might lead to design bloat Process improved: Across Chip Line-width Variation (ACLV) in spec. How do we calculate the cost/benefit? Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 43

44 Relative Gate Count Gate Pitch Classification in Microprocessor Logic Typical Gate Occurance vs. Pitch Contacted Count Iso Min 0 Pitch category Data Source: Kevin Lucas (Motorola/Freescale) Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 44

45 Regular Layouts and Piecewise Patterning Regular Layouts are needed for (enable) piecewise patterning (e.g. dual-mask) Manufacturability Constraint: Layer to Layer Alignment Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 45

46 Dual Mask Partitioning: Dipole Illumination Algorithm: Horizontal Partition Non Critical Partition Original Layout Aerial Image (Dual-Exposure) Vertical Partition H-V Partitioning steps are followed by full-chip MOPC on BOTH masks Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 46

47 Regularity in Integrated Devices Circuit Regularity Layout Regularity Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 47

48 Area (sq. microns) Regular Circuit Fabrics: Generic, Fixed-Size Configurable Bricks Firewire Area Derived Bricks Generic Bricks ASIC Number of Bricks L. Pileggi, H. Schmit, A. J. Strojwas, et al., "Exploring Regular Fabrics To Optimize The Performance-cost Trade-Off Proceedings of the ACM/IEEE DAC, June 2003 V. Kheterpal, V. Rovner, T.G. Hersan, D. Motiani, Y. Takegawa, A.J. Strojwas, L. Pileggi Design Methodology for IC Manufacturability Based on Regular Logic-Bricks Proceedings of the ACM/IEEE DAC, June 2005 Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 48

49 Advanced DFM Application: Modeling of Non-Rectangular Transistors NEW DFM FLOW: Integrated Process Simulations with Transistor Models Calibrated Process Simulation BSIM models are generated for each rectangular slice. Gate From poly line to transistor: building BSIM models for non-rectangular transistors W. Poppe, L. Capodieci [SPIE Microlithography 2006: ] Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 49

50 Si-based Timing Analysis (using Post-OPC Layout Patterns) From J. Yang, L. Capodieci, D. Sylvester SPIE-2005 Conf:5756 (Thu. 3/3/2005) Selected Speed Path Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 50

51 Si-based Timing Characterization Results (1) (2) From J. Yang, L. Capodieci, D. Sylvester SPIE-2005 Conf:5756 (Thu. 3/3/2005) Using Si-based layout reveals: (1) A re-ordering in Speed-Path criticality (2) The existence of new Speed-Paths Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 51

52 Si-based Cell Optimization for Timing From J. Yang, L. Capodieci, D. Sylvester SPIE-2005 Conf:5756 (Thu. 3/3/2005) Si-based timing analysis allows for Improved Cell Optimization (selected and ranked by slack) Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 52

53 Design Driven Metrology Design Driven Metrology (DDM) is the FUNDAMENTAL ENABLER of Design For Manufacturing (DFM) DDM establishes an operational and analytical LINK between metrology locations/results and product design components Experimental Validation of LPV Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 53

54 Design Driven Metrology Flow Design Mask SEM name row col x y loc Drawmark other meas tp_sram_m2bl_1_h NA NA 90 tp_sram_m2bl_2_h NA NA 90 tp_sram_m2bl_3_h NA NA 90 Metrology Sites Wafer Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 54

55 2D Image-Based Design Rule Checks Traditional DRC (Hard-Coded) 135 nm 405 nm nm 405 nm nm 135 nm nm nm poss_cross_spacer = EXT VIA1 == cross REGION OPPOSITE //should be a "cross" shape poss_square_spacer = EXT VIA1 == v_square REGION OPPOSITE //135 by 135nm //Verify the correctness of the "cross" spacer //Width == via_size test1 = vertex poss_cross_spacer ==12 test2 = AREA test1 == test3 = length test2!= VIA_SIZE //edges not equal via width test4 = EXPAND EDGE test3 outside by.01 cross = test2 NOT INTERACT test4 2D-DRC Image-Based Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 55

56 Layout Analysis by Pattern Matching [Data courtesy of CommandCAD] 2D (ultra-fast) Image-Based Pattern-Matching capability has been demonstrated, for full-chip layouts (X,Y) Locations of Polygonal Clips (images) can be identified Image-based pattern-matching allows for fuzzy-matching Approach can be extended to SEM images Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 56

57 2D-DR Checking (by Pattern Matching) Exact Match Fuzzy Match Full-Chip Pattern-Match (X,Y) Locations Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 57

58 Layout Analysis by Patterns Matching [II] Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 58

59 SEM Images Pattern Matching on Layouts Match Results: Exact I=226; M=89% I=84; M=94% I=226; M=89% Binary Bitmap from SEM Image Full-Chip Pattern-Match (X,Y) Locations and Fuzzy I=52; M=83% Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 59

60 DRC+: 2D DRC Verification M01 Necking DRC+ Layout Printability Verification 2 CAs printed small Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 60

61 DRC+ integration in Verification Flow From SIM to DR Integrated in CALIBRE RVE Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 61

62 R&D: Layout Pattern Clustering Clustering Analysis Printability Analysis Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 62

63 Examples of Ranked Clusters SPIE 2009 Paper Vito Dai occurences: 2 mean: occurences: 25 mean: -6.0 std. dev: 0.2 occurences: 8 mean: -4.1 std. dev: 0.5 occurences: 10 mean: -2.7 std. dev: 1.3 overall mean: -2.7 std.dev: 1.2 occurences: 1092 mean: -1.5 std. dev: 0.1 Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 63

64 Additional DFM Tasks: YRC, Density/CMP YRC (Yield Rule Checks) Identify layout geometries where recommended rules could be applied to improve manufacturability and yield. Density Analysis and CMP modeling Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 64

65 The current state of DFM DFM tools and methodologies are coming of age (at 45 nm) as evolutionary extensions of RET/OPC flows Lithography-Driven DFM, i.e. PW Layout Printability Verification, is a well established practice at 65 and 45 nm Checks/Defects CLASSIFICATION is still lacking (automation) Although many DFM Tools are available, the DFM Use-Model is still not well defined and/or well understood KEY INSERTION point for DFM is the Design Rule Manual Continuing DFM evolution drives towards more and more Regular Layouts (concept adopted also at Circuit Design Level) 2D DRC Flows have been demonstrated to identify potential Yield Detractors early in the Design-to-Fabrication Cycle Entering the age of Computational Technology Scaling Enhanced Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 65

66 Top 5+1 challenges for DFM 1. Flow interoperability and standard interfaces (models, data, XML) - requires Tool Equipment Vendors, EDA Software Vendors, FAB and DESIGN entities to collaborate [difficult] 2. Predictive modeling (ETCH, CMP, etc.) to be developed using a paradigm similar to the successful RET/OPC one (i.e. fix-it) 3. Integrated Data Mining for Process Variability Data (both simulation and experimental) directly interfaced with Design Flows 4. Process Aware Synthesis and Place & Route (not a simple problem but a fundamental enabler for 22 nm) 5. DFM Silicon Verification and predictive Yield Models (essential to quantify ROI in DFM) Require also substantial advances in Metrology Automation (CAD) Process-Variability-Aware System Architectures design time run time Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 66

67 Acknowledgments Rich Klein, Norma Rodriguez, Marilyn Wright, Rolf Seltmann, Cyrus Tabery, Sarah McGowan, Carl Babcock, Chris Spence, Yi Zou, Jie Yang, Vito Dai, Ethan Cohen, Uwe Hahn, Mark Craig, JR Zhou, Ed Roseboom, Stefan Roling, FAB1/A/B, Norman Chen, Chidam Kallingal, Jason Cain, and many, many others at AMD and GLOBALFOUNDRIES Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 67

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM 28nm and below: New Frontiers and Innovations in Design for Manufacturing Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM Outline Challenges Variability and the Limits of IC Geometrical Scaling Methodology

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Nanometer technologies make designers aware

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project Feature-level Compensation & Control Workshop September 13, 2006 A UC Discovery Project 2 Current Milestones Establish industry acceptable Process-EDA test structures (LITH Y3.1) Refine test-patterns designs

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Manufacturing Characterization for DFM

Manufacturing Characterization for DFM Manufacturing Characterization for DFM 2006 SW DFT Conference Austin, TX Greg Yeric, Ph. D. Synopsys Outline What is DFM? Today? Tomorrow? Fab Characterization for DFM Information Goals General Infrastructure

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays, EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture 8: Gate delays, Variability Announcements Project proposals due today Title Team members ½ page ~5 references Post it on your EECS web page

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Computational Lithography

Computational Lithography Computational Lithography An EDA Perspective Frank Schellenberg, Ph.D. Mentor Graphics 22nm SEMATECH Workshop 5/15/2008 22nm Optical Lithography 22nm with λ = 193nm Wow! Several processing options Double

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION LITHOGRAPHY TABLE OF CONTENTS Scope...1 Difficult Challenges...1 Lithography Technology Requirements...3 Potential Solutions...14 Crosscut

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

Layout and technology

Layout and technology INF4420 Layout and technology Dag T. Wisland Spring 2015 Outline CMOS technology Design rules Analog layout Mismatch Spring 2015 Layout and technology 2 Introduction As circuit designers we must carefully

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven ASML, Brion and Computational Lithography Neal Callan 15 October 2008, Veldhoven Chip makers want shrink to continue (based on the average of multiple customers input) 200 Logic DRAM today NAND Flash Resolution,

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

Flare compensation in EUV lithography

Flare compensation in EUV lithography Flare compensation in EUV lithography Place your image on top of this gray box. If no graphic is applicable, delete gray box and notch-out behind gray box, from the Title Master Jonathan Cobb, Ruiqi Tian,

More information

Local Fix Based Litho- Compliance Layout Modification in Router. Date: Nov. 5, 2007 Advisor: Prof. Chen Sao-Jie

Local Fix Based Litho- Compliance Layout Modification in Router. Date: Nov. 5, 2007 Advisor: Prof. Chen Sao-Jie Local Fix Based Litho- Compliance Layout Modification in Router NAME: ØÙ Date: Nov. 5, 2007 Advisor: Prof. Chen Sao-Jie 1 Outline Lithography & OPC Introduction Graduate Institute Electronic Engineering,

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Modeling and CAD Challenges for DFY Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Outline Unphysical casing and statistical models Process gradients Gate protect diodes Shallow trench isolation

More information

Process and Environmental Variation Impacts on ASIC Timing

Process and Environmental Variation Impacts on ASIC Timing Process and Environmental Variation Impacts on ASIC Timing Paul S. Zuchowski, Peter A. Habitz, Jerry D. Hayes, Jeffery H. Oppold IBM Microelectronics Division Essex Junction, Vermont 05452, USA Introduction

More information

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2009 EDITION LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 01: the big picture Course objective Brief tour of IC physical design

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Statistical Static Timing Analysis Technology

Statistical Static Timing Analysis Technology Statistical Static Timing Analysis Technology V Izumi Nitta V Toshiyuki Shibuya V Katsumi Homma (Manuscript received April 9, 007) With CMOS technology scaling down to the nanometer realm, process variations

More information

Changing the Approach to High Mask Costs

Changing the Approach to High Mask Costs Changing the Approach to High Mask Costs The ever-rising cost of semiconductor masks is making low-volume production of systems-on-chip (SoCs) economically infeasible. This economic reality limits the

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

FLCC Synergistic Design- For-Manufacturing (DFM) Research

FLCC Synergistic Design- For-Manufacturing (DFM) Research Overview of FLCC DFM Opportunities, August 28, 2006 FLCC Synergistic Design- For-Manufacturing (DFM) Research Andrew R. Neureuther University of California, Berkeley 2 Feature Level Compensation and Control:

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

Post-OPC verification using a full-chip Pattern-Based simulation verification method

Post-OPC verification using a full-chip Pattern-Based simulation verification method Post-OPC verification using a full-chip Pattern-Based simulation verification method Chi-Yuan Hung* a, Ching-Heng Wang a, Cliff Ma b, Gary Zhang c, a Semiconductor Manufacturing International (Shanghai)

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints Cell Abutment Pattern Matching Weakpoints Yongfu Li, Valerio Perez, I-Lun Tseng, Zhao Chuan Lee, Vikas Tripathi, Jason Khaw and Yoong Seang Jonathan Ong GLOBALFOUNDRIES Singapore ABSTRACT Pattern matching

More information

EE 434 Lecture 2. Basic Concepts

EE 434 Lecture 2. Basic Concepts EE 434 Lecture 2 Basic Concepts Review from Last Time Semiconductor Industry is One of the Largest Sectors in the World Economy and Growing All Initiatives Driven by Economic Opportunities and Limitations

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Effects of grid-placed contacts on circuit performance

Effects of grid-placed contacts on circuit performance Title Effects of grid-placed contacts on circuit performance Author(s) Wang, J; Wong, AKK Citation Cost and Performance in Integrated Circuit Creation, Santa Clara, California, USA, 27-28 February 2003,

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

The future of lithography and its impact on design

The future of lithography and its impact on design The future of lithography and its impact on design Chris Mack www.lithoguru.com 1 Outline History Lessons Moore s Law Dennard Scaling Cost Trends Is Moore s Law Over? Litho scaling? The Design Gap The

More information

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS LUC VAN DEN HOVE President & CEO imec OUTLINE! Industry drivers! Roadmap extension! Lithography options! Innovation through global collaboration

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Outline. Layout and technology. CMOS technology Design rules Analog layout Mismatch INF4420. Jørgen Andreas Michaelsen Spring / 80 2 / 80

Outline. Layout and technology. CMOS technology Design rules Analog layout Mismatch INF4420. Jørgen Andreas Michaelsen Spring / 80 2 / 80 INF4420 Layout and technology Jørgen Andreas Michaelsen Spring 2013 1 / 80 Outline CMOS technology Design rules Analog layout Mismatch Spring 2013 Layout and technology 2 2 / 80 Introduction As circuit

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty

Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty IMPACT Internal Document for IMPACT Participants Only Summary IMPACT Roundtable Lithography + DfM Dialog on industry challenges and university research activities among technologists from Participating

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

Electrical Impact of Line-Edge Roughness on Sub-45nm Node Standard Cell

Electrical Impact of Line-Edge Roughness on Sub-45nm Node Standard Cell Electrical Impact of Line-Edge Roughness on Sub-45nm Node Standard Cell Yongchan Ban a, Savithri Sundareswaran b, Rajendran Panda b,anddavidz.pan a a Department of ECE, University of Texas, Austin, TX

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

Characterization of e-beam induced resist slimming using etched feature measurements.

Characterization of e-beam induced resist slimming using etched feature measurements. Characterization of e-beam induced resist slimming using etched feature measurements. Colin Yates a, Galen Sapp b, Paul Knutrud b a LSI Logic Corporation, 23400 N.E. Glisan Street, Gresham, OR, USA 97030

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information