InAs Quantum-Well MOSFET for logic and microwave applications

Size: px
Start display at page:

Download "InAs Quantum-Well MOSFET for logic and microwave applications"

Transcription

1 AWAD June 29 th 2012 Accelerating the next technology revolution InAs Quantum-Well MOSFET for logic and microwave applications T.-W. Kim, R. Hill, C. D. Young, D. Veksler, L. Morassi, S. Oktybrshky 1, J. Oh 2, C. Y. Kang, D.-H. Kim 3, J.A. del Alamo 4, C. Hobbs, P.D. Kirsch, and R. Jammy 1 CNSE, 2 Yonsei, 3 Teledyne, 4 MIT Copyright 2012 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

2 Outline Introduction Device design and process technology Device results from logic to microwave characteristics Conclusions Slide 2

3 Possible Logic Technology Roadmap Manufacturing Development Pathfinding Ge pmos; III-V nmos 2019 ULP TFET 2021 Ge pmos; Si nmos 2015 Ge CMOS 2017 Si FinFET nd Gen HKMG 2009 Gate stack HKMG 2007 Intel Intel, Intel Channel Material Defect Metrology Junctions Gate Stack Sub 60mV Architecture Junction / Doping Manufacturability 45nm 32nm 22nm 14nm 10nm 7nm 5nm Slide 3

4 Motivation for InAs vs. InGaAs <del Alamo, Nature 2012> <Kim, IEDM 2009> v inj (InAs>In 0.7 Ga 0.3 As/In 0.53 Ga 0.53 As) > 2v inj (Si) at less than half V DD Derived v inj values consistent with quasi ballistic transport (Collision-free) Slide 4

5 Layer structure with inverted Si δ-doping Inverted Si δ-doping Optimize Si δ-doping to better electrostatics Slide 5

6 Validation of epi quality in this work QMSA results from calibration structure K Electrons Mobility cm 2 /Vs µ n,hall = 11,200 cm 2 /V-sec and n s,ch = 1 x /cm 2 at 300K µ n,hall = 20,000 cm 2 /V-sec and n s,ch = 1 x /cm 2 at 77K Slide 6

7 Test Structure Design Unique features of this work: InAs channel for better transport Inverted Si δ-doping for low excess R SD and excellent electrostatic control 3 nm Al 2 O 3 /2 nm InP gate stack to improve D it Slide 7

8 InAs MOSFET Output Characteristics Optimized gate recess with L side < 5 nm Excellent I D saturation and pinch off behavior I D,sat = 0.68 A/mm at V DS = 0.6 V at L g = 100 nm Slide 8

9 R ON Characteristic R ON = Ohm-mm with optimized gate recess process (L side < 5 nm) R ON could be reduced with self-aligned architecture Slide 9

10 InAs MOSFET Subthreshold Characteristics SS = 105 mv/dec. at L g = 100 nm with D it = 4 x /ev.cm 2 Excellent gate leakage A room for EOT scaling below 2 nm Slide 10

11 V T roll-off V T was defined at I D = 1 µa/µm V T = 0.2 V at L g = 100 nm: Enhancement-mode operation Slide 11

12 InAs MOSFET g m Characteristic g m,int = C g x v inj g m = 1.73 ms/µm at V DS = 0.5 V ( A record g m,ext at L g = 100 nm) Slide 12

13 InAs MOSFET Microwave Characteristic Calibration: LRRM, De-embedding: OPEN/SHORT - L g = 100 nm: f T = 245 GHz & f max = 355 GHz at V DS = 0.8 V These f T & f max are record values for any III-V MOSFET Slide 13

14 InAs MOSFET promising for RF Applications - L g = 200 nm: f T > 200 GHz & f max = 300 GHz at V DS = 0.8 V - Excellent performance for millimeter wave applications Slide 14

15 III-V MOSFET Benchmarking [1] Open symbol: Surface channel Solid fill: Buried channel [10] [8] [9] [11] - A record transconductance at L g = 100 nm for III-V MOSFET Slide 15

16 III-V MOSFET Q Benchmarking - FOM Q factor defined as g m /S Q = 16 for L g = 100 nm and V DD =0.5 V. Slide 16

17 Extraction methodology for v inj Slide 17

18 C gi How to extract in small L g device Slide 18

19 Q I_xo How to extract - Extracted intrinsic gate capacitance (C gi ) & charge (Q i_xo ) in channel with S-parameter Slide 19

20 Benchmarking: Injection velocity (v inj ) 4 InAs HEMT n ~ 13,000 cm 2 /V-s 3 n ~ 9,500 cm 2 /V-s In 0.53 GaAs 2 1 *Strain-Si *Si nfets V DS = 0.5 V (V 0 DS = 1.1 ~ 1.3 V) L g [nm] - InAs MOSFET shows 2 X higher v inj than Si, even at V DS = 0.5 V - Consistent V inj depending on channel mobility Slide 20

21 Benchmarking: Injection velocity (v inj ) 4 InAs HEMT n ~ 13,000 cm 2 /V-s 3 n ~ 9,500 cm 2 /V-s In 0.53 GaAs 2 n ~ 11,200 cm 2 /V-s InAs QW MOSFET 1 *Strain-Si *Si nfets V DS = 0.5 V (V 0 DS = 1.1 ~ 1.3 V) L g [nm] - InAs MOSFET shows 2 X higher v inj than Si, even at V DS = 0.5 V - Consistent V inj depending on channel mobility Slide 21

22 Conclusions InAs (rather than In x Ga 1-x As) enables: Record g m =1.73 ms/µm at V DS = 0.5 V No significant I OFF penalty (S = 105 mv/dec) Excellent microwave characteristics f T = 245 GHz and f max = 355 GHz at L g = 100 nm 2 V inj improvement vs. s-si First rigorous v inj benchmarking shows InAs MOSFET competitive with best known HEMT InAs MOSFET (0.5V) InAs HEMT (0.5V) Strained Si MOSFET (1V) 2.3 x 10 7 cm/s 2.8 x 10 7 cm/s 1 x 10 7 cm/s Slide 22

III-V CMOS: the key to sub-10 nm electronics?

III-V CMOS: the key to sub-10 nm electronics? III-V CMOS: the key to sub-10 nm electronics? J. A. del Alamo Microsystems Technology Laboratories, MIT 2011 MRS Spring Meeting and Exhibition Symposium P: Interface Engineering for Post-CMOS Emerging

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies J. A. del Alamo Microsystems Technology Laboratories, MIT ESSDERC-ESSCIRC 2013 Bucharest, Romania, September 16-20, 2013 Acknowledgements:

More information

InGaAs Nanoelectronics: from THz to CMOS

InGaAs Nanoelectronics: from THz to CMOS InGaAs Nanoelectronics: from THz to CMOS J. A. del Alamo Microsystems Technology Laboratories, MIT IEEE International Conference on Electron Devices and Solid-State Circuits Hong Kong, June 3, 2013 Acknowledgements:

More information

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Jianqiang Lin, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process Jianqiang Lin, Xin Zhao, Tao Yu, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

InGaAs MOSFETs for CMOS:

InGaAs MOSFETs for CMOS: InGaAs MOSFETs for CMOS: Recent Advances in Process Technology J. A. del Alamo, D. Antoniadis, A. Guo, D.-H. Kim 1, T.-W. Kim 2, J. Lin, W. Lu, A. Vardi and X. Zhao Microsystems Technology Laboratories,

More information

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs J. A. del Alamo Microsystems Technology Laboratories, MIT Purdue University, West Lafayette, IN; September 29,

More information

InGaAs MOSFET Electronics

InGaAs MOSFET Electronics InGaAs MOSFET Electronics J. A. del Alamo Microsystems Technology Laboratories, MIT The 17 th International Symposium Physics of Semiconductors and Applications Jeju, Korea, December 7-11, 2014 Acknowledgements:

More information

Nanoscale III-V CMOS

Nanoscale III-V CMOS Nanoscale III-V CMOS J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology SEMI Advanced Semiconductor Manufacturing Conference Saratoga Springs, NY; May 16-19, 2016

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik InGaAs tri-gate MOSFETs with record on-current Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik Published in: 6 IEEE International Electron Devices Meeting, IEDM 6 DOI:.9/IEDM.6.7886

More information

CMOS Scaling Beyond FinFETs: Nanowires and TFETs

CMOS Scaling Beyond FinFETs: Nanowires and TFETs SEMATECH Symposium June 23, 2011 Tokyo Accelerating the next technology revolution CMOS Scaling Beyond FinFETs: Nanowires and TFETs Chris Hobbs, Wei-Yip Loh, Kerem Akarvardar, Paul Kirsch, and Raj Jammy

More information

III-V Channel Transistors

III-V Channel Transistors III-V Channel Transistors Jesús A. del Alamo Professor Microsystems Technology Laboratories MIT Acknowledgements: Students and collaborators: D. Antoniadis, J. Lin, W. Lu, A. Vardi, X. Zhao Sponsors: Applied

More information

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs J. A. del Alamo, X. Zhao, W. Lu, and A. Vardi Microsystems Technology Laboratories Massachusetts Institute of Technology 5 th Berkeley

More information

Tunneling Field Effect Transistors for Low Power ULSI

Tunneling Field Effect Transistors for Low Power ULSI Tunneling Field Effect Transistors for Low Power ULSI Byung-Gook Park Inter-university Semiconductor Research Center and School of Electrical and Computer Engineering Seoul National University Outline

More information

Device architectures for the 5nm technology node and beyond Nadine Collaert

Device architectures for the 5nm technology node and beyond Nadine Collaert Device architectures for the 5nm technology node and beyond Nadine Collaert Distinguished member of technical staff, imec Outline Introduction Beyond FinFET: lateral nanowires and vertical transistors

More information

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) K. Moselund 1, D. Cutaia 1. M. Borg 1, H. Schmid 1, S. Sant 2, A. Schenk 2 and H. Riel 1 1 IBM Research

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Single suspended InGaAs nanowire MOSFETs

Single suspended InGaAs nanowire MOSFETs Single suspended InGaAs nanowire MOSFETs Zota, Cezar B.; Wernersson, Lars-Erik; Lind, Erik Published in: Technical Digest - International Electron Devices Meeting, IEDM DOI:.9/IEDM.5.7988 Published: 6--6

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices Jesús A. del Alamo, Xin Zhao, Wenjie Lu, Alon Vardi Microsystems Technology Laboratories, MIT E 3 S Retreat September

More information

In principle, the high mobilities of InGaAs and

In principle, the high mobilities of InGaAs and 114Conference report: IEDM part 2 Meeting the challenge of integrating III-Vs with deep submicron silicon High-mobility devices based on indium gallium arsenide (InGaAs) channels could benefit the performance

More information

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Prof. Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley,

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2 Contents Acknowledgements Curriculum Vitæ Abstract List of Figures List of Tables v vi viii xii xviii 1 Introduction 1 1.1 Si MOSFET Scaling......................... 2 2 General MOSFET Scaling Theory 7

More information

32nm Technology and Beyond

32nm Technology and Beyond 32nm Technology and Beyond Paolo Gargini Chairman ITRS IEEE Fellow Director of Technology Strategy Intel Fellow ISS Europe 2009 P. Gargini 1 Agenda Equivalent Scaling 45nm Technology summary 32nm Technology

More information

Power, speed and other highlights at IEDM

Power, speed and other highlights at IEDM 98 Conference report: IEDM Power, speed and other highlights at IEDM Mike Cooke rounds up developments reported at December s 2010 IEEE International Electron Devices Meeting (IEDM) in San Francisco. The

More information

Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design

Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design 9/25/2002 Jun Yuan, Peter M. Zeitzoff*, and Jason C.S. Woo Department of Electrical Engineering University

More information

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP) Science in China Series E: Technological Sciences 2009 SCIENCE IN CHINA PRESS www.scichina.com tech.scichina.com Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets

More information

Transistors for VLSI, for Wireless: A View Forwards Through Fog

Transistors for VLSI, for Wireless: A View Forwards Through Fog Plenary, Device Research Conference, June 22, 2015, Ohio State Transistors for VLSI, for Wireless: A View Forwards Through Fog Mark Rodwell, UCSB Low-voltage devices P. Long, E. Wilson, S. Mehrotra, M.

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

Alternative Channel Materials for MOSFET Scaling Below 10nm

Alternative Channel Materials for MOSFET Scaling Below 10nm Alternative Channel Materials for MOSFET Scaling Below 10nm Doug Barlage Electrical Requirements of Channel Mark Johnson Challenges With Material Synthesis Introduction Outline Challenges with scaling

More information

Digital Integrated Circuits EECS 312

Digital Integrated Circuits EECS 312 14 12 10 8 6 Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP) 0 1950 1960 1970 1980

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach Xin Zhao, Jianqiang Lin, Christopher Heidelberger, Eugene A. Fitzgerald and Jesús A. del Alamo Microsystems Technology Laboratories, MIT

More information

Design of Gate-All-Around Tunnel FET for RF Performance

Design of Gate-All-Around Tunnel FET for RF Performance Drain Current (µa/µm) International Journal of Computer Applications (97 8887) International Conference on Innovations In Intelligent Instrumentation, Optimization And Signal Processing ICIIIOSP-213 Design

More information

Challenges and Innovations in Nano CMOS Transistor Scaling

Challenges and Innovations in Nano CMOS Transistor Scaling Challenges and Innovations in Nano CMOS Transistor Scaling Tahir Ghani Intel Fellow Logic Technology Development October, 2009 Nikkei Presentation 1 Outline Traditional Scaling Traditional Scaling Limiters,

More information

Logic Technology Development, *QRE, ** TCAD Intel Corporation

Logic Technology Development, *QRE, ** TCAD Intel Corporation A 32nm Logic Technology Featuring 2nd-Generation High-k + Metal-Gate Transistors, Enhanced Channel Strain and 0.171um 2 SRAM Cell Size in a 291Mb Array S. Natarajan, M. Armstrong, M. Bost, R. Brain, M.

More information

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications Vice President, Technology Manufacturing Group Intel Corporation August 2013 Outlines

More information

Shorthand Notation for NMOS and PMOS Transistors

Shorthand Notation for NMOS and PMOS Transistors Shorthand Notation for NMOS and PMOS Transistors Terminal Voltages Mode of operation depends on V g, V d, V s V gs = V g V s V gd = V g V d V ds = V d V s = V gs - V gd Source and drain are symmetric diffusion

More information

MOS Capacitance and Introduction to MOSFETs

MOS Capacitance and Introduction to MOSFETs ECE-305: Fall 2016 MOS Capacitance and Introduction to MOSFETs Professor Peter Bermel Electrical and Computer Engineering Purdue University, West Lafayette, IN USA pbermel@purdue.edu 11/4/2016 Pierret,

More information

Scaling of InGaAs MOSFETs into deep-submicron regime (invited)

Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Y.Q. Wu, J.J. Gu, and P.D. Ye * School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47906 * Tel: 765-494-7611,

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

problem grade total

problem grade total Fall 2005 6.012 Microelectronic Devices and Circuits Prof. J. A. del Alamo Name: Recitation: November 16, 2005 Quiz #2 problem grade 1 2 3 4 total General guidelines (please read carefully before starting):

More information

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling Goodbye Microelectronics Welcome Nanoelectronics Sub-micron technology IC fabrication process trends SOI technology SiGe Tranzistor in 50nm process Virus The thickness of gate oxide= 1.2 nm!!! Today we

More information

Acknowledgments: This work was supported by Air Force HiREV program and the DTRA Basic Research Program.

Acknowledgments: This work was supported by Air Force HiREV program and the DTRA Basic Research Program. Gate Bias and Geometry Dependence of Total-Ionizing-Dose Effects in InGaAs Quantum-Well MOSFETs K. Ni 1, E. X. Zhang 1, R. D. Schrimpf 1, D. M. Fleetwood 1, R. A. Reed 1, M. L. Alles 1, J. Lin 2, and J.

More information

Week 9a OUTLINE. MOSFET I D vs. V GS characteristic Circuit models for the MOSFET. Reading. resistive switch model small-signal model

Week 9a OUTLINE. MOSFET I D vs. V GS characteristic Circuit models for the MOSFET. Reading. resistive switch model small-signal model Week 9a OUTLINE MOSFET I vs. V GS characteristic Circuit models for the MOSFET resistive switch model small-signal model Reading Rabaey et al.: Chapter 3.3.2 Hambley: Chapter 12 (through 12.5); Section

More information

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors Contemporary Engineering Sciences, Vol. 6, 2013, no. 6, 273-284 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3632 Study of Pattern Area of Logic Circuit with Tunneling Field-Effect

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann*, K. Johnson#,

More information

UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences.

UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences. UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences Discussion #9 EE 05 Spring 2008 Prof. u MOSFETs The standard MOSFET structure is shown

More information

Ultra High-Speed InGaAs Nano-HEMTs

Ultra High-Speed InGaAs Nano-HEMTs Ultra High-Speed InGaAs Nano-HEMTs 2003. 10. 14 Kwang-Seok Seo School of Electrical Eng. and Computer Sci. Seoul National Univ., Korea Contents Introduction to InGaAsNano-HEMTs Nano Patterning Process

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits Page 1 of 13 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Microelectronic Devices and Circuits Final Eam Closed Book: Formula sheet provided;

More information

Silicon-on-Sapphire Technology: A Competitive Alternative for RF Systems

Silicon-on-Sapphire Technology: A Competitive Alternative for RF Systems 71 Silicon-on-Sapphire Technology: A Competitive Alternative for RF Systems Isaac Lagnado and Paul R. de la Houssaye SSC San Diego S. J. Koester, R. Hammond, J. O. Chu, J. A. Ott, P. M. Mooney, L. Perraud,

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Experimentally reported sub-60mv/dec

Experimentally reported sub-60mv/dec Experimentally reported sub-60mv/dec swing in Tunnel FETs? 1 We considered InAs conventional, lateral transistor architectures: GAA nanowire, Fin FETs FETs (Tri gate) UTB,DG SOI Analysis is not directly

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

Active Technology for Communication Circuits

Active Technology for Communication Circuits EECS 242: Active Technology for Communication Circuits UC Berkeley EECS 242 Copyright Prof. Ali M Niknejad Outline Comparison of technology choices for communication circuits Si npn, Si NMOS, SiGe HBT,

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.1: Nanoscale FET Anda Mocuta Introduction: technologies/concepts covered by the Roadmap

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) 3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) Pei W. Ding, Kristel Fobelets Department of Electrical Engineering, Imperial College London, U.K. J. E. Velazquez-Perez

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

MOSFET Terminals. The voltage applied to the GATE terminal determines whether current can flow between the SOURCE & DRAIN terminals.

MOSFET Terminals. The voltage applied to the GATE terminal determines whether current can flow between the SOURCE & DRAIN terminals. MOSFET Terminals The voltage applied to the GATE terminal determines whether current can flow between the SOURCE & DRAIN terminals. For an n-channel MOSFET, the SOURCE is biased at a lower potential (often

More information

Analog Performance of Scaled Bulk and SOI MOSFETs

Analog Performance of Scaled Bulk and SOI MOSFETs Analog Performance of Scaled and SOI MOSFETs Sushant S. Suryagandh, Mayank Garg, M. Gupta, Jason C.S. Woo Department. of Electrical Engineering University of California, Los Angeles CA 99, USA. woo@icsl.ucla.edu

More information

= 25 C) Parameter 20 MHz 0.5 GHz 1.0 GHz 2.0 GHz 3.0 GHz 4.0 GHz 5.0 GHz 6.0 GHz Units Gain

= 25 C) Parameter 20 MHz 0.5 GHz 1.0 GHz 2.0 GHz 3.0 GHz 4.0 GHz 5.0 GHz 6.0 GHz Units Gain CMPA625F 25 W, 2 MHz-6 MHz, GaN MMIC Power Amplifier Cree s CMPA625F is a gallium nitride (GaN) High Electron Mobility Transistor (HEMT) based monolithic microwave integrated circuit (MMIC). GaN has superior

More information

COMON De-Briefing. Prof. Benjamin Iñiguez

COMON De-Briefing. Prof. Benjamin Iñiguez COMON De-Briefing Prof. Benjamin Iñiguez Department of Electronic, Electrical and Automatic Control Engineering, Universitat Rovira i Virgili (URV) Tarragona, Spain benjamin.iniguez@urv.cat MOS-AK, Munich,

More information

Power Semiconductor Devices - Silicon vs. New Materials. Si Power Devices The Dominant Solution Today

Power Semiconductor Devices - Silicon vs. New Materials. Si Power Devices The Dominant Solution Today Power Semiconductor Devices - Silicon vs. New Materials Jim Plummer Stanford University IEEE Compel Conference July 10, 2017 Market Opportunities for Power Devices Materials Advantages of SiC and GaN vs.

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Fundamentals of III-V Semiconductor MOSFETs

Fundamentals of III-V Semiconductor MOSFETs Serge Oktyabrsky Peide D. Ye Editors Fundamentals of III-V Semiconductor MOSFETs Springer Contents 1 Non-Silicon MOSFET Technology: A Long Time Coming 1 Jerry M. Woodall 1.1 Introduction 1 1.2 Brief and

More information

In0.53Ga0.47As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth

In0.53Ga0.47As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth UNIVERSITY OF CALIFORNIA Santa Barbara In0.53Ga0.47As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth A Dissertation submitted in partial satisfaction of the requirements for the

More information

A GaAs/AlGaAs/InGaAs PSEUDOMORPHIC HEMT STRUCTURE FOR HIGH SPEED DIGITAL CIRCUITS

A GaAs/AlGaAs/InGaAs PSEUDOMORPHIC HEMT STRUCTURE FOR HIGH SPEED DIGITAL CIRCUITS IJRET: International Journal of Research in Engineering and Technology eissn: 239-63 pissn: 232-738 A GaAs/AlGaAs/InGaAs PSEUDOMORPHIC HEMT STRUCTURE FOR HIGH SPEED DIGITAL CIRCUITS Parita Mehta, Lochan

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

Today's Goals. Finish MOS transistor Finish NMOS logic Start CMOS logic

Today's Goals. Finish MOS transistor Finish NMOS logic Start CMOS logic Bi Today's Goals Finish MOS transistor Finish Start Bi MOS Capacitor Equations Threshold voltage Gate capacitance V T = ms Q i C i Q II C i Q d C i 2 F n-channel - - p-channel ± ± + + - - Contributions

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

CMOS Logic Technology IEEE EDS DL

CMOS Logic Technology IEEE EDS DL IIT-bombay, Tutorial CMOS Logic Technology IEEE EDS DL January 24, 2013 IIT-Bombay, Mumbai, India Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 More Moore approach Technology benchmark

More information

Enabling Power-Efficient Designs With III-V Heterojunction Tunnel FETs

Enabling Power-Efficient Designs With III-V Heterojunction Tunnel FETs Enabling Power-Efficient Designs With III-V Heterojunction Tunnel FETs Moon S. Kim, Huichu Liu, Karthik Swaminathan, Xueqing Li, Suman Datta, and Vijaykrishnan Narayanan The Pennsylvania State University

More information

Lecture Wrap up. December 13, 2005

Lecture Wrap up. December 13, 2005 6.012 Microelectronic Devices and Circuits Fall 2005 Lecture 26 1 Lecture 26 6.012 Wrap up December 13, 2005 Contents: 1. 6.012 wrap up Announcements: Final exam TA review session: December 16, 7:30 9:30

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor DRAM & Flexible RRAM This Week s Subject p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor CMOS Logic Inverter NAND gate NOR gate CMOS Integration & Layout GaAs MESFET (JFET) 1 Flexible

More information

Self-Aligned-Gate GaN-HEMTs with Heavily-Doped n + -GaN Ohmic Contacts to 2DEG

Self-Aligned-Gate GaN-HEMTs with Heavily-Doped n + -GaN Ohmic Contacts to 2DEG Self-Aligned-Gate GaN-HEMTs with Heavily-Doped n + -GaN Ohmic Contacts to 2DEG K. Shinohara, D. Regan, A. Corrion, D. Brown, Y. Tang, J. Wong, G. Candia, A. Schmitz, H. Fung, S. Kim, and M. Micovic HRL

More information

Analysis and Design of Analog Integrated Circuits Lecture 8. Cascode Techniques

Analysis and Design of Analog Integrated Circuits Lecture 8. Cascode Techniques Analysis and Design of Analog Integrated Circuits Lecture 8 Cascode Techniques Michael H. Perrott February 15, 2012 Copyright 2012 by Michael H. Perrott All rights reserved. Review of Large Signal Analysis

More information

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation Australian Journal of Basic and Applied Sciences, 2(3): 406-411, 2008 ISSN 1991-8178 Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation 1 2 3 R. Muanghlua, N. Vittayakorn and A.

More information

Performance Analysis of InGaAs Double Gate MOSFET

Performance Analysis of InGaAs Double Gate MOSFET Performance Analysis of InGaAs Double Gate MOSFET Ms. Karthika Rani P, Ms. Kavitha T Abstract-Technological improvements have been made due to the scaling of device dimensions in order to attain continuous

More information

Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers

Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers Denis Flandre, Valeriya Kilchytska, Cecilia Gimeno, David Bol, Babak Kazemi Esfeh, Jean-Pierre

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

Optical Fiber Communication Lecture 11 Detectors

Optical Fiber Communication Lecture 11 Detectors Optical Fiber Communication Lecture 11 Detectors Warriors of the Net Detector Technologies MSM (Metal Semiconductor Metal) PIN Layer Structure Semiinsulating GaAs Contact InGaAsP p 5x10 18 Absorption InGaAs

More information

RF Noise Simulation for Submicron MOSFET s Based on Hydrodynamic Model

RF Noise Simulation for Submicron MOSFET s Based on Hydrodynamic Model RF Noise Simulation for Submicron MOSFET s Based on Hydrodynamic Model Jung-Suk Goo, Chang-Hoon Choi, Eiji Morifuji, Hisayo Sasaki Momose, Zhiping Yu, Hiroshi Iwai, Thomas H. Lee, and Robert W. Dutton,

More information

Dynamic Threshold MOS transistor for Low Voltage Analog Circuits

Dynamic Threshold MOS transistor for Low Voltage Analog Circuits 26 Dynamic Threshold MOS transistor for Low Voltage Analog Circuits Vandana Niranjan, Akanksha Singh, Ashwani Kumar Electronics and Communication Engineering Department Indira Gandhi Delhi Technical University

More information

Low Noise Dual Gate Enhancement Mode MOSFET with Quantum Valve in the Channel

Low Noise Dual Gate Enhancement Mode MOSFET with Quantum Valve in the Channel Proceedings of the World Congress on Electrical Engineering and Computer Systems and Science (EECSS 2015) Barcelona, Spain, July 13-14, 2015 Paper No. 153 Low Noise Dual Gate Enhancement Mode MOSFET with

More information