InGaAs Nanoelectronics: from THz to CMOS

Size: px
Start display at page:

Download "InGaAs Nanoelectronics: from THz to CMOS"

Transcription

1 InGaAs Nanoelectronics: from THz to CMOS J. A. del Alamo Microsystems Technology Laboratories, MIT IEEE International Conference on Electron Devices and Solid-State Circuits Hong Kong, June 3, 2013 Acknowledgements: D. Antoniadis, A. Guo, D.-H. Kim, T.-W. Kim, D. Jin, J. Lin, N. Waldron, L. Xia Sponsors: Intel, FCRP-MSD Labs at MIT: MTL, NSL, SEBL 1

2 Outline 1. InGaAs HEMT today 2. InGaAs HEMTs towards THz operation 3. InGaAs MOSFETs: towards sub-10 nm CMOS 2

3 A bit of perspective Invention of AlGaAs/GaAs HEMT: Fujitsu Labs First InAlAs/InGaAs HEMT on InP: Bell Labs First AlGaAs/InGaAs Pseudomorphic HEMT: U. Illinois 1985 Main attraction of InGaAs: RT μ e = 6,000~30,000 cm 2 /V.s Mimura JJAPL 1980 Chen EDL 1982 Ketterson EDL

4 InGaAs Electronics Today UMTS-LTE PA module Chow, MTT-S Gb/s modulator driver 77 GHz transceiver Carroll, MTT-S 2002 Tessmann, GaAs IC 1999 Bipolar/E-D PHEMT process Single-chip WLAN MMIC, Morkner, RFIC 2007 Henderson, Mantech

5 InGaAs High Electron Mobility Transistor (HEMT) Modulation doping: 2-Dimensional Electron Gas at InAlAs/InGaAs interface 5

6 InGaAs HEMT: high-frequency record vs. time f T (GHz) Teledyne/MIT: f T =688 GHz, f max =800 GHz on InP substrate on GaAs substrate Year f T =710 GHz f max =478 GHz Chang APEX 2013 (NCTU) Devices fabricated at MIT Highest f T of any FET on any material system Best balanced f T and f max of any transistor on any material 6

7 InGaAs HEMTs: circuit demonstrations 10-stage 670 GHz LNA 80 Gb/s multiplexer IC Leong, IPRM 2012 Single-stage 500 GHz LNA Wurfl, GAAS 2004 Sarkozy, IPRM 2013 Tessmann, CSIC

8 InGaAs HEMTs on InP used to map infant universe WMAP=Wilkinson Microwave Anisotropy Probe Launched 2001 Full-sky map of Cosmic Microwave Background radiation (oldest light in Universe) age of Universe: 13.73B years (±1%) µm InGaAs HEMT LNA Pospieszalski MTT-S

9 A closer look: InGaAs HEMTs at MIT Kim, EDL QW channel (t ch = 10 nm): InAs core InGaAs cladding e = 13,200 cm 2 /V-sec - InAlAs barrier (t ins = 4 nm) - L g = 30 nm 9

10 L g =30 nm InGaAs HEMT V GS = I D [ma/ m] V 0.2 V 0 V U g H 21 Kim, EDL g m [ms/ m] V DS [V] Gains [db] MSG/MAG K 1 0 K V DS = 0.5 V V GS [V] V DS =0.5 V, V GS =0.2 V Frequency [Hz] High transconductance: g m = 1.9 ms/μm at V DD =0.5 V First transistor of any kind with both f T and f max > 640 GHz 10

11 How to reach f t = 1 THz? THz 30% reduction in all the parasitics 600 f T [GHz] 400 V DS = 0.6 V Measured f T 200 Modeled f T Model Projection 30 L g [nm] 100 Kim, IEDM 2011 f T = 1 THz feasible by: scaling to L g 25 nm ~30% parasitic reduction 11

12 Record f T InGaAs HEMTs: megatrends x=0.53 Over time: L g, In x Ga 1-x As channel x InAs L g, x InAs saturated no more progress possible? 12

13 Record f T InGaAs HEMTs: megatrends Over time: t ch, t ins t ch, t ins saturated no more progress possible? 13

14 Limit to HEMT barrier scaling: gate leakage current InGaAs HEMTs L g =40 nm V DS =0.5 V Kim, EDL 2013 At L g =30-40 nm, modern HEMTs are at the limit of scaling! 14

15 Solution: MOS gate! InGaAs HEMTs 10-5 x! L g =40 nm V DS =0.5 V Al 2 O 3 (3 nm)/inp (2 nm)/ingaas MOSFET Kim, EDL 2013 Need high-k gate dielectric: HEMT MOSFET! 15

16 InGaAs MOSFETs with f T =370 GHz (Teledyne/MIT/IntelliEpi/Sematech) Kim, APL H 21 Gains [db] U g MSG f T = 370 GHz f max = 280 GHz V DS =0.5 V Frequency [Hz] Channel: 10 nm In 0.7 Ga 0.3 As Barrier: 1 nm InP + 2 nm Al 2 O 3 L g = 60 nm g m = 2 ms/μm R ON = 220 Ω.μm 16

17 III-V MOSFET: a >30 year pursuit! GaAs Kohn, EL 1977 GaAs Mimura, EL 1978 Poor electrical characteristics due to oxide/semiconductor interface defects Fermi level pinning 17

18 Recent breakthrough: oxide/iii-v interfaces with unpinned Fermi level In-situ UHV Ga 2 O 3 -Gd 2 O 3 on GaAs Ex-situ ALD Al 2 O 3 on GaAs Ren, SSE 1997 Ye, EDL

19 Self-cleaning during ALD ALD eliminates surface oxides that pin Fermi level: First observed with Al 2 O 3, then with other high-k dielectrics First seen in GaAs, then in other III-Vs Huang, APL 2005 Clean, smooth interface without surface oxides 19

20 Interface quality: Al 2 O 3 /InGaAs vs. Al 2 O 3 /Si Al 2 O 3 /Si Al 2 O 3 /InGaAs E v E c E v E c Werner, JAP 2011 Brammertz, APL 2009 Close to conduction band edge, Al 2 O 3 /InGaAs shows comparable interface state density to Al 2 O 3 /Si interface 20

21 Electron injection velocity: InGaAs vs. Si Measurements of electron injection velocity in HEMTs: E C v inj E V Kim, IEDM 2009 Liu, Springer 2010 Khakifirooz, TED 2008 del Alamo, Nature 2011 v inj (InGaAs) increases with InAs fraction in channel v inj (InGaAs) > 2v inj (Si) at less than half V DD ~100% ballistic transport at L g ~30 nm 21

22 InGaAs n-mosfet: best candidate for post-si CMOS Si CMOS scaling seriously stressed Moore s law threatened? Intel microprocessors 22

23 The III-V view 23

24 The III-V view The Si view 24

25 CMOS scaling in the 21 st century Si CMOS has entered era of power-constrained scaling : Microprocessor power density saturated at ~100 W/cm 2 Pop, Nano Res 2010 Future scaling demands V DD 25

26 How to enable further V DD reduction? Transistor is switch: Goals of scaling: reduce transistor footprint reduce V DD extract maximum I ON for given I OFF The path forward: increase electron velocity I ON tighten electron confinement S use InGaAs! 26

27 L g =30 nm InGaAs HEMT Subthreshold characteristics Kim, EDL V DS = 0.5 V 10-5 I D V DS = 0.05 V I D, I G [A/ m] V DS = 0.5 V 10-8 I G 10-9 V DS = 0.05 V V GS [V] S = 74 mv/dec Sharp subthreshold behavior due to tight electron confinement in quantum well 27

28 L g =30 nm InGaAs HEMT Subthreshold characteristics Kim, EDL I ON =0.52 ma/μm V DS = 0.5 V 10-5 I D V DS = 0.05 V I D, I G [A/ m] I OFF =100 na/μm V DS = 0.5 V 10-8 I G 10-9 V DS = 0.05 V V GS [V] 0.5 V S = 74 mv/dec At I OFF =100 na/μm and V DD =0.5 V, I ON =0.52 ma/μm 28

29 InGaAs HEMTs: Benchmarking with Si FOM that integrates short-channel effects and transport: I I OFF =100 na/µm, V DD =0.5 V Kim EDL 2010 InGaAs HEMT (MIT) IEDM 2008 InGaAs HEMTs: higher I ON for same I OFF than Si 29

30 III-V MOSFET: possible designs n + n + Recessed S/D QW-MOSFET Regrown S/D QW-MOSFET Trigate MOSFET Nanowire MOSFET 30

31 Self-Aligned InGaAs QW-MOSFETs (MIT) Scaled barrier (InP: 1 nm + HfO 2 : 2 nm) 10 nm thick channel with InAs core Tight S/D spacing (L side ~30 nm) Process designed to be compatible with Si fab Lin, IEDM 2012 L side 31

32 L g =30 nm Self-aligned QW-MOSFET At V DS = 0.5 V: g m = 1.4 ms/µm S = 114 mv/dec R ON = 470 m I D (A/ m) Lin, IEDM 2012 L g =30 nm V DS =0.5 V 50 mv V GS (V) S (mv/dec) 32

33 Scaling and benchmarking I on ( A/ m) I off =100 na/ m V DD =0.5 V III-V FETs MIT HEMT Planar Trigate This work L g (nm) S min (mv/dec) Lin, IEDM III-V FETs V DS = 0.5 V L g (nm) MIT HEMT Planar Trigate This work Superior behavior to any planar III-V MOSFET to date Matches performance of Intel s InGaAs Trigate MOSFETs [Radosavljevic, IEDM 2011] 33

34 Long-channel InGaAs MOSFET Barrier: InP (1 nm) + Al 2 O 3 (0.4 nm) + HfO 2 (2 nm) Lin, IEDM 2012 S = 69 mv/dec at V DS = 50 mv Close to lowest S reported in any III-V MOSFET: 66 mv/dec [Radosavljevic, IEDM 2011] 34

35 Regrown source/drain InGaAs QW-MOSFET on Si (HKUST) Zhou, IEDM 2012 MOCVD epi growth on Si wafer n + -InGaAs raised source/drain Self-aligned to gate Composite barrier: InAlAs (10 nm) + Al 2 O 3 (4.6 nm) 35

36 Characteristics of Lg=30 nm MOSFET At VDS=0.5 V: gm = 1.7 ms/µm S = 186 mv/dec RON = 157 Ω.µm Zhou, IEDM

37 Multiple-gate MOSFETs # gates improved electrostatics enhanced scalability FinFET Trigate Nanowire Chen, ICSICT

38 InGaAs Trigate MOSFET (Intel) Radosavljevic, IEDM 2011 H FIN =40 nm Improved subthreshold swing as fin is made thinner 38

39 InGaAs Nanowire MOSFET (Purdue) 30x30 nm fin L ch = 50 nm Barrier: 10 nm Al 2 O 3 # wires = 4 Gu, IEDM 2011 Gu, APL 2011 Gu, EDL 2012 I on = 720 μa/μm (86 μa/wire) g m = 0.51 ms/μm (61 μs/wire) S = 150 mv/dec 39

40 Conclusions: exciting future for InGaAs Most promising material for ultra-high frequency and ultra-high speed applications first THz transistor? Most promising material for n-mosfet in a post- Si CMOS logic technology first sub-10 nm CMOS logic? InGaAs + Si integration: THz + CMOS + optics integrated systems? 40

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies J. A. del Alamo Microsystems Technology Laboratories, MIT ESSDERC-ESSCIRC 2013 Bucharest, Romania, September 16-20, 2013 Acknowledgements:

More information

InGaAs MOSFET Electronics

InGaAs MOSFET Electronics InGaAs MOSFET Electronics J. A. del Alamo Microsystems Technology Laboratories, MIT The 17 th International Symposium Physics of Semiconductors and Applications Jeju, Korea, December 7-11, 2014 Acknowledgements:

More information

III-V CMOS: the key to sub-10 nm electronics?

III-V CMOS: the key to sub-10 nm electronics? III-V CMOS: the key to sub-10 nm electronics? J. A. del Alamo Microsystems Technology Laboratories, MIT 2011 MRS Spring Meeting and Exhibition Symposium P: Interface Engineering for Post-CMOS Emerging

More information

InGaAs MOSFETs for CMOS:

InGaAs MOSFETs for CMOS: InGaAs MOSFETs for CMOS: Recent Advances in Process Technology J. A. del Alamo, D. Antoniadis, A. Guo, D.-H. Kim 1, T.-W. Kim 2, J. Lin, W. Lu, A. Vardi and X. Zhao Microsystems Technology Laboratories,

More information

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Jianqiang Lin, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process Jianqiang Lin, Xin Zhao, Tao Yu, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Nanoscale III-V CMOS

Nanoscale III-V CMOS Nanoscale III-V CMOS J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology SEMI Advanced Semiconductor Manufacturing Conference Saratoga Springs, NY; May 16-19, 2016

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

Nanometer-scale InGaAs Field-Effect Transistors for THz and CMOS technologies

Nanometer-scale InGaAs Field-Effect Transistors for THz and CMOS technologies Nanometer-scale InGaAs Field-Effect Transistors for THz and CMOS technologies The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation

More information

InAs Quantum-Well MOSFET for logic and microwave applications

InAs Quantum-Well MOSFET for logic and microwave applications AWAD June 29 th 2012 Accelerating the next technology revolution InAs Quantum-Well MOSFET for logic and microwave applications T.-W. Kim, R. Hill, C. D. Young, D. Veksler, L. Morassi, S. Oktybrshky 1,

More information

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs J. A. del Alamo Microsystems Technology Laboratories, MIT Purdue University, West Lafayette, IN; September 29,

More information

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach Xin Zhao, Jianqiang Lin, Christopher Heidelberger, Eugene A. Fitzgerald and Jesús A. del Alamo Microsystems Technology Laboratories, MIT

More information

III-V Channel Transistors

III-V Channel Transistors III-V Channel Transistors Jesús A. del Alamo Professor Microsystems Technology Laboratories MIT Acknowledgements: Students and collaborators: D. Antoniadis, J. Lin, W. Lu, A. Vardi, X. Zhao Sponsors: Applied

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik InGaAs tri-gate MOSFETs with record on-current Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik Published in: 6 IEEE International Electron Devices Meeting, IEDM 6 DOI:.9/IEDM.6.7886

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Single suspended InGaAs nanowire MOSFETs

Single suspended InGaAs nanowire MOSFETs Single suspended InGaAs nanowire MOSFETs Zota, Cezar B.; Wernersson, Lars-Erik; Lind, Erik Published in: Technical Digest - International Electron Devices Meeting, IEDM DOI:.9/IEDM.5.7988 Published: 6--6

More information

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs J. A. del Alamo, X. Zhao, W. Lu, and A. Vardi Microsystems Technology Laboratories Massachusetts Institute of Technology 5 th Berkeley

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices Jesús A. del Alamo, Xin Zhao, Wenjie Lu, Alon Vardi Microsystems Technology Laboratories, MIT E 3 S Retreat September

More information

Alternative Channel Materials for MOSFET Scaling Below 10nm

Alternative Channel Materials for MOSFET Scaling Below 10nm Alternative Channel Materials for MOSFET Scaling Below 10nm Doug Barlage Electrical Requirements of Channel Mark Johnson Challenges With Material Synthesis Introduction Outline Challenges with scaling

More information

Acknowledgments: This work was supported by Air Force HiREV program and the DTRA Basic Research Program.

Acknowledgments: This work was supported by Air Force HiREV program and the DTRA Basic Research Program. Gate Bias and Geometry Dependence of Total-Ionizing-Dose Effects in InGaAs Quantum-Well MOSFETs K. Ni 1, E. X. Zhang 1, R. D. Schrimpf 1, D. M. Fleetwood 1, R. A. Reed 1, M. L. Alles 1, J. Lin 2, and J.

More information

Scaling of InGaAs MOSFETs into deep-submicron regime (invited)

Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Y.Q. Wu, J.J. Gu, and P.D. Ye * School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47906 * Tel: 765-494-7611,

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Prof. Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley,

More information

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) K. Moselund 1, D. Cutaia 1. M. Borg 1, H. Schmid 1, S. Sant 2, A. Schenk 2 and H. Riel 1 1 IBM Research

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

GaN MMIC PAs for MMW Applicaitons

GaN MMIC PAs for MMW Applicaitons GaN MMIC PAs for MMW Applicaitons Miroslav Micovic HRL Laboratories LLC, 311 Malibu Canyon Road, Malibu, CA 9265, U. S. A. mmicovic@hrl.com Motivation for High Frequency Power sources 6 GHz 11 GHz Frequency

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

Fundamentals of III-V Semiconductor MOSFETs

Fundamentals of III-V Semiconductor MOSFETs Serge Oktyabrsky Peide D. Ye Editors Fundamentals of III-V Semiconductor MOSFETs Springer Contents 1 Non-Silicon MOSFET Technology: A Long Time Coming 1 Jerry M. Woodall 1.1 Introduction 1 1.2 Brief and

More information

In principle, the high mobilities of InGaAs and

In principle, the high mobilities of InGaAs and 114Conference report: IEDM part 2 Meeting the challenge of integrating III-Vs with deep submicron silicon High-mobility devices based on indium gallium arsenide (InGaAs) channels could benefit the performance

More information

32nm Technology and Beyond

32nm Technology and Beyond 32nm Technology and Beyond Paolo Gargini Chairman ITRS IEEE Fellow Director of Technology Strategy Intel Fellow ISS Europe 2009 P. Gargini 1 Agenda Equivalent Scaling 45nm Technology summary 32nm Technology

More information

Small Signal Modelling of InGaAs/InAlAs phemt for low noise applications

Small Signal Modelling of InGaAs/InAlAs phemt for low noise applications Small Signal Modelling of InGaAs/InAlAs phemt for low noise applications N. Ahmad and M. Mohamad Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Pauh Putra Campus, 26 Arau, Perlis,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Self-Aligned-Gate GaN-HEMTs with Heavily-Doped n + -GaN Ohmic Contacts to 2DEG

Self-Aligned-Gate GaN-HEMTs with Heavily-Doped n + -GaN Ohmic Contacts to 2DEG Self-Aligned-Gate GaN-HEMTs with Heavily-Doped n + -GaN Ohmic Contacts to 2DEG K. Shinohara, D. Regan, A. Corrion, D. Brown, Y. Tang, J. Wong, G. Candia, A. Schmitz, H. Fung, S. Kim, and M. Micovic HRL

More information

A 77 GHz mhemt MMIC Chip Set for Automotive Radar Systems

A 77 GHz mhemt MMIC Chip Set for Automotive Radar Systems A 77 GHz mhemt MMIC Chip Set for Automotive Radar Systems Dong Min Kang, Ju Yeon Hong, Jae Yeob Shim, Jin-Hee Lee, Hyung-Sup Yoon, and Kyung Ho Lee A monolithic microwave integrated circuit (MMIC) chip

More information

SEVERAL III-V materials, due to their high electron

SEVERAL III-V materials, due to their high electron IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 64, NO. 1, JANUARY 2017 239 Gate Bias and Geometry Dependence of Total-Ionizing-Dose Effects in InGaAs Quantum-Well MOSFETs Kai Ni, Student Member, IEEE, En Xia

More information

Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si

Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si Berg, Martin; Kilpi, Olli-Pekka; Persson, Karl-Magnus; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik; Wernersson,

More information

Device architectures for the 5nm technology node and beyond Nadine Collaert

Device architectures for the 5nm technology node and beyond Nadine Collaert Device architectures for the 5nm technology node and beyond Nadine Collaert Distinguished member of technical staff, imec Outline Introduction Beyond FinFET: lateral nanowires and vertical transistors

More information

Performance Analysis of 20 nm Pentagonal and Trapezoidal NanoWire Transistor with Si and Ge Channel

Performance Analysis of 20 nm Pentagonal and Trapezoidal NanoWire Transistor with Si and Ge Channel Performance Analysis of 20 nm Pentagonal and Trapezoidal NanoWire Transistor with Si and Ge Channel SANDEEP SINGH GILL 1, JAIDEV KAUSHIK 2, NAVNEET KAUR 3 Department of Electronics and Communication Engineering

More information

Ultra High-Speed InGaAs Nano-HEMTs

Ultra High-Speed InGaAs Nano-HEMTs Ultra High-Speed InGaAs Nano-HEMTs 2003. 10. 14 Kwang-Seok Seo School of Electrical Eng. and Computer Sci. Seoul National Univ., Korea Contents Introduction to InGaAsNano-HEMTs Nano Patterning Process

More information

Transistors for VLSI, for Wireless: A View Forwards Through Fog

Transistors for VLSI, for Wireless: A View Forwards Through Fog Plenary, Device Research Conference, June 22, 2015, Ohio State Transistors for VLSI, for Wireless: A View Forwards Through Fog Mark Rodwell, UCSB Low-voltage devices P. Long, E. Wilson, S. Mehrotra, M.

More information

InGaAs is a promising channel material candidate for

InGaAs is a promising channel material candidate for 468 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 30, NO. 4, NOVEMBER 2017 A Si-Compatible Fabrication Process for Scaled Self-Aligned InGaAs FinFETs A. Vardi, Member, IEEE, J.Lin,Member, IEEE,

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2 Contents Acknowledgements Curriculum Vitæ Abstract List of Figures List of Tables v vi viii xii xviii 1 Introduction 1 1.1 Si MOSFET Scaling......................... 2 2 General MOSFET Scaling Theory 7

More information

III-Nitride microwave switches Grigory Simin

III-Nitride microwave switches Grigory Simin Microwave Microelectronics Laboratory Department of Electrical Engineering, USC Research Focus: - Wide Bandgap Microwave Power Devices and Integrated Circuits - Physics, Simulation, Design and Characterization

More information

Nanoelectronics and the Future of Microelectronics

Nanoelectronics and the Future of Microelectronics Nanoelectronics and the Future of Microelectronics Mark Lundstrom Electrical and Computer Engineering University, West Lafayette, IN August 22, 2002 1. Introduction 2. Challenges in Silicon Technology

More information

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling Goodbye Microelectronics Welcome Nanoelectronics Sub-micron technology IC fabrication process trends SOI technology SiGe Tranzistor in 50nm process Virus The thickness of gate oxide= 1.2 nm!!! Today we

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

A GaAs/AlGaAs/InGaAs PSEUDOMORPHIC HEMT STRUCTURE FOR HIGH SPEED DIGITAL CIRCUITS

A GaAs/AlGaAs/InGaAs PSEUDOMORPHIC HEMT STRUCTURE FOR HIGH SPEED DIGITAL CIRCUITS IJRET: International Journal of Research in Engineering and Technology eissn: 239-63 pissn: 232-738 A GaAs/AlGaAs/InGaAs PSEUDOMORPHIC HEMT STRUCTURE FOR HIGH SPEED DIGITAL CIRCUITS Parita Mehta, Lochan

More information

CMOS beyond Si: Nanometer-Scale III-V MOSFETs

CMOS beyond Si: Nanometer-Scale III-V MOSFETs CMOS beyond Si: Nanometer-Scale III-V MOSFETs Jesús A. del Alamo, Xiaowei Cai, Jianqiang Lin, Wenjie Lu, Alon Vardi, Xin Zhao Microsystems Technology Laboratories, Massachusetts Institute of Technology,

More information

1020 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016

1020 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016 1020 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016 InGaAs Quantum-Well MOSFET Arrays for Nanometer-Scale Ohmic Contact Characterization J. Lin, Student Member, IEEE, D. A. Antoniadis,

More information

Design of Gate-All-Around Tunnel FET for RF Performance

Design of Gate-All-Around Tunnel FET for RF Performance Drain Current (µa/µm) International Journal of Computer Applications (97 8887) International Conference on Innovations In Intelligent Instrumentation, Optimization And Signal Processing ICIIIOSP-213 Design

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

Quantum Devices and Integrated Circuits Based on Quantum Confinement in III-V Nanowire Networks Controlled by Nano-Schottky Gates

Quantum Devices and Integrated Circuits Based on Quantum Confinement in III-V Nanowire Networks Controlled by Nano-Schottky Gates ECS 2 Joint Intenational Meeting, San Francisco Sept. 2-7, 2 Sixth International Symposium on Quantum Confinement Quantum Devices and Integrated Circuits Based on Quantum Confinement in III-V Nanowire

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Chapter 1. Introduction

Chapter 1. Introduction Chapter 1 Introduction 1.1 Introduction of Device Technology Digital wireless communication system has become more and more popular in recent years due to its capability for both voice and data communication.

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Effect over Bulk MOSFET at 20nm

Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Effect over Bulk MOSFET at 20nm RESEARCH ARTICLE OPEN ACCESS Design & Performance Analysis of DG- for Reduction of Short Channel Effect over Bulk at 20nm Ankita Wagadre*, Shashank Mane** *(Research scholar, Department of Electronics

More information

General look back at MESFET processing. General principles of heterostructure use in FETs

General look back at MESFET processing. General principles of heterostructure use in FETs SMA5111 - Compound Semiconductors Lecture 11 - Heterojunction FETs - General HJFETs, HFETs Last items from Lec. 10 Depletion mode vs enhancement mode logic Complementary FET logic (none exists, or is likely

More information

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5 Eigen # Gate Gate Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET Lecture 5 Thin-Body MOSFET Carrier Transport quantum confinement effects low-field mobility: Orientation and Si Thickness

More information

MOS Capacitance and Introduction to MOSFETs

MOS Capacitance and Introduction to MOSFETs ECE-305: Fall 2016 MOS Capacitance and Introduction to MOSFETs Professor Peter Bermel Electrical and Computer Engineering Purdue University, West Lafayette, IN USA pbermel@purdue.edu 11/4/2016 Pierret,

More information

Experimentally reported sub-60mv/dec

Experimentally reported sub-60mv/dec Experimentally reported sub-60mv/dec swing in Tunnel FETs? 1 We considered InAs conventional, lateral transistor architectures: GAA nanowire, Fin FETs FETs (Tri gate) UTB,DG SOI Analysis is not directly

More information

InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology

InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology pss-header will be provided by the publisher Review copy not for distribution 0 0 (pss-logo will be inserted here by the publisher) InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Nanometer-Scale III-V MOSFETs

Nanometer-Scale III-V MOSFETs Received 31 January 2016; revised 3 May 2016; accepted 11 May 2016. Date of publication 7 July, 2016; date of current version 23 August 2016. The review of this paper was arranged by Editor P. R. Berger.

More information

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0. Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.3 V Memisevic, E.; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik;

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) 3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) Pei W. Ding, Kristel Fobelets Department of Electrical Engineering, Imperial College London, U.K. J. E. Velazquez-Perez

More information

3-7 Nano-Gate Transistor World s Fastest InP-HEMT

3-7 Nano-Gate Transistor World s Fastest InP-HEMT 3-7 Nano-Gate Transistor World s Fastest InP-HEMT SHINOHARA Keisuke and MATSUI Toshiaki InP-based InGaAs/InAlAs high electron mobility transistors (HEMTs) which can operate in the sub-millimeter-wave frequency

More information

Performance Analysis of InGaAs Double Gate MOSFET

Performance Analysis of InGaAs Double Gate MOSFET Performance Analysis of InGaAs Double Gate MOSFET Ms. Karthika Rani P, Ms. Kavitha T Abstract-Technological improvements have been made due to the scaling of device dimensions in order to attain continuous

More information

Design of THz Signal Generation Circuits Using 65nm CMOS Technologies

Design of THz Signal Generation Circuits Using 65nm CMOS Technologies Design of THz Signal Generation Circuits Using 65nm CMOS Technologies Hyeong-Jin Kim, Wonseok Choe, and Jinho Jeong Department of Electronics Engineering, Sogang University E-mail: jjeong@sogang.ac.kr

More information

Galileo, Elephants, & Fast Nano-Devices

Galileo, Elephants, & Fast Nano-Devices Presentation to NNIN REU interns, July 29, 2008 Galileo, Elephants, & Fast Nano-Devices Mark Rodwell University of California, Santa Barbara rodwell@ece.ucsb.edu 805-893-3244, 805-893-5705 fax Scaling:

More information

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann*, K. Johnson#,

More information

mhemt based MMICs, Modules, and Systems for mmwave Applications Axel Hülsmann Axel Tessmann Jutta Kühn Oliver Ambacher

mhemt based MMICs, Modules, and Systems for mmwave Applications Axel Hülsmann Axel Tessmann Jutta Kühn Oliver Ambacher mhemt based MMICs, Modules, and Systems for mmwave Applications Christaweg 54 79114 Freiburg, Germany +49 761 5951 4692 info@ondosense.com www.ondosense.com Axel Hülsmann Axel Tessmann Jutta Kühn Oliver

More information

Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si

Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si Berg, Martin; Persson, Karl-Magnus; Kilpi, Olli-Pekka; Svensson, Johannes; Lind, Erik; Wernersson, Lars-Erik Published in: Technical

More information

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs MBE 2008, Vancouver, B.C. Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs Mark Wistey, Greg Burek, Uttam Singisetti, Austin Nelson, Brian Thibeault, Joël Cagnon, Susanne Stemmer, Arthur

More information

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches University of Pennsylvania From the SelectedWorks of Nipun Sinha 29 Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches Nipun Sinha, University of Pennsylvania Timothy S.

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Investigations on Compound Semiconductor High Electron Mobility Transistor (HEMT)

Investigations on Compound Semiconductor High Electron Mobility Transistor (HEMT) Investigations on Compound Semiconductor High Electron Mobility Transistor (HEMT) Nov. 26, 2004 Outline I. Introduction: Why needs high-frequency devices? Why uses compound semiconductors? How to enable

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

Quantum-effect Resonant Tunneling Device Technology for Practical Ultra Low-power High-speed Applications

Quantum-effect Resonant Tunneling Device Technology for Practical Ultra Low-power High-speed Applications Quantum-effect Resonant Tunneling Device Technology for Practical Ultra Low-power High-speed Applications SEMATECH Symposium October 23 rd, 2012 Prof. Kyounghoon Yang High Speed Nanoelectronics Laboratory

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

50-500GHz Wireless Technologies: Transistors, ICs, and Systems

50-500GHz Wireless Technologies: Transistors, ICs, and Systems Plenary, Asia-Pacific Microwave Conference, December 6, 2015, Nanjing, China 50-500GHz Wireless Technologies: Transistors, ICs, and Systems Mark Rodwell, UCSB J. Rode*, P. Choudhary, B. Thibeault, W. Mitchell,

More information

Assoc. Prof. Dr. MONTREE SIRIPRUCHYANUN

Assoc. Prof. Dr. MONTREE SIRIPRUCHYANUN 1 Assoc. Prof. Dr. MONTREE SIRIPRUCHYANUN Dept. of Teacher Training in Electrical Engineering 1 King Mongkut s Institute of Technology North Bangkok 1929 Bulky, expensive and required high supply voltages.

More information

POSTECH Activities on CMOS based Linear Power Amplifiers

POSTECH Activities on CMOS based Linear Power Amplifiers 1 POSTECH Activities on CMOS based Linear Power Amplifiers Jan. 16. 2006 Bumman Kim, & Jongchan Kang MMIC Laboratory Department of EE, POSTECH Presentation Outline 2 Motivation Basic Design Approach CMOS

More information

High Voltage DC and RF Power Reliability of GaN HEMTs

High Voltage DC and RF Power Reliability of GaN HEMTs High Voltage DC and RF Power Reliability of GaN HEMTs J. A. del Alamo and J. Joh* Microsystems Technology Laboratories, MIT, Cambridge, MA (USA) *presently with Texas Instruments, Dallas, TX (USA) ICNS

More information

In0.53Ga0.47As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth

In0.53Ga0.47As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth UNIVERSITY OF CALIFORNIA Santa Barbara In0.53Ga0.47As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth A Dissertation submitted in partial satisfaction of the requirements for the

More information

CMOS Scaling Beyond FinFETs: Nanowires and TFETs

CMOS Scaling Beyond FinFETs: Nanowires and TFETs SEMATECH Symposium June 23, 2011 Tokyo Accelerating the next technology revolution CMOS Scaling Beyond FinFETs: Nanowires and TFETs Chris Hobbs, Wei-Yip Loh, Kerem Akarvardar, Paul Kirsch, and Raj Jammy

More information

Progress Energy Distinguished University Professor Jay Baliga. April 11, Acknowledgements

Progress Energy Distinguished University Professor Jay Baliga. April 11, Acknowledgements Progress Energy Distinguished University Professor Jay Baliga April 11, 2019 Acknowledgements 1 Outline SiC Power MOSFET Breakthroughs achieved at NCSU PRESiCE: SiC Power Device Manufacturing Technology

More information

ULTRA LOW NOISE PSEUDOMORPHIC HJ FET

ULTRA LOW NOISE PSEUDOMORPHIC HJ FET ULTRA LOW NOISE PSEUDOMORPHIC HJ FET NE34 FEATURES VERY LOW NOISE FIGURE: NF =.6 db typical at f = GHz HIGH ASSOCIATED GAIN: GA =. db typical at f = GHz LG =.5 µm, WG = µm DESCRIPTION The NE34 is a pseudomorphic

More information

Transistors for THz Systems

Transistors for THz Systems IMS Workshop: Technologies for THZ Integrated Systems (WMD) Monday, June 3, 013, Seattle, Washington (8AM-5PM) Transistors for THz Systems Mark Rodwell, UCSB rodwell@ece.ucsb.edu Co-Authors and Collaborators:

More information