Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

Size: px
Start display at page:

Download "Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator"

Transcription

1 Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Jianqiang Lin, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories, MIT December 12, 2012 Sponsors: FCRP-MSD Center, Intel Corp.

2 tivation Superior electron transport properties in InAs and InGaAs material systems ~10X mobility vs. Silicon Extraordinary electron velocity [J. del Alamo, Nature 2011] I d-sat = Q i_xo x v inj InAs HEMTs V inj Strained Si V DS =0.5 V Si V DS = V 2

3 Goal: Self-aligned III-V QW-MOSFETs L side n + n + Footprint Deeply scaled channel and barrier Architecture: Self-aligned contact Process integration: towards Si-MOS-compatible processes and materials 3

4 Device fabrication n + cap InP substrate Sputtered contact CVD hard mask Mesa isolation 4

5 Device fabrication n + cap InP substrate Resist n + cap InP substrate Sputtered contact CVD hard mask Mesa isolation Gate lithography Gate recess by RIE / 5

6 Device fabrication n + cap InP substrate Resist n + cap InP substrate n+ n + cap cap Sputtered contact CVD hard mask Mesa isolation Gate lithography Gate recess by RIE / Damage annealing * Cap wet etch; pulled-in Digital etching of InP * [Lin, APEX 2012] 6

7 Device fabrication n + cap InP substrate Resist n + cap InP substrate Sputtered contact CVD hard mask Mesa isolation Gate lithography Gate recess by RIE / Damage annealing n+ n + cap cap G ALD n+ n + cap cap Cap wet etch; pulled-in Digital thinning of InP ALD gate dielectric deposition gate evaporation Gate head photo and pattern Pad formation 7

8 n + cap InP substrate n+ n + cap cap ALD Device fabrication Resist n + cap InP substrate n+ n + cap cap Sputtered contact CVD hard mask Mesa isolation Gate lithography Gate recess by RIE / Damage annealing Cap wet etch; pulled-in Digital thinning of InP ALD gate dielectric deposition gate evaporation Gate head photo and pattern Self-aligned Au-free (Front-end) Pad formation InP exposed last Lift-off free (Front-end) Low thermal budget 8

9 QW-MOSFET: L g =30 nm (S/D) Cap 30 nm (G) HfO 2 /InP (total t ch =10 nm): 2 nm InAs clad by 3 and 5 nm In 0.7 Ga 0.3 As InP barrier thinned by digital etch Barrier InP =1 nm, HfO 2 =2 nm [including barrier: EOT~ 0.8 nm] Low- : t,s/d =30 nm, R sh =5 / 9 Contact to gate spacing = 20~30 nm

10 QW-MOSFET: L g =30 nm I D ( A/ m) L g = 30 nm V GS = 0.5 V 0.4 V I D ( A/ m) L g =30 nm V DS =0.5 V 50 mv g m ( S/ m) V DS (V) -0.2 V V GS (V) g m,max = 1.4 ms/ m at V DS =0.5 V L g =30 nm (S/D) Little hysteresis (< 10 mv) Cap (G) R on =470. m, R sd =450. m L side HfO (mainly attributed to L side ) 2 /InP 10

11 QW-MOSFET: L g =30 nm subthreshold characteristic I D (A/ m) L g =30 nm V DS =0.5 V S (mv/dec) mv V GS (V) S min =114 mv/dec at V DS =0.5 V, DIBL=230 mv/v Nearly constant S throughout subthreshold region I g <1 na/µm over entire voltage range 11

12 Scaling and benchmarking: ON current I on ( A/ m) I off =100 na/ m V DD =0.5 V MIT HEMT Planar Trigate This work Definition of I on L g (nm) III-V FETs Superior behavior to any planar III-V MOSFET to date Matches performance of III-V Trigate MOSFETs [Radosavljevic, IEDM 2011] 12

13 Scaling and benchmarking: Subthreshold swing and V t roll-off S min (mv/dec) 160 III-V FETs S min at V DS = 0.5 V MIT HEMT Planar Trigate This work L g (nm) V t (V) V =V at t GS I D =1 A/ m, V DS =0.5 V MIT HEMT This work L g (nm) S min superior to all planar III-V MOSFETs to date Matches III-V Trigate MOSFET [Radosavljevic, IEDM 2011] V t roll-off starts at L g ~50 nm 13

14 DIBL DIBL (mv/v) MIT HEMT This work L g (nm) DIBL= 230 mv/v for L g =30 nm Related to residual RIE damage and the heterostructure 14

15 QW-MOSFET: L g =22 nm 22 nm I D ( A/ m) L g = 22 nm V GS = 0.5 V 0.4 V Functional device with L g =22 nm g m, max = 1.1 ms/µm at V DS =0.5 V I D ( A/ m) -0.5 V V DS (V) L g =22 nm 300 V DS =0.5V mv V GS (V) g m ( S/ m)

16 Dielectric/barrier scaling Long-channel In 0.53 Ga 0.47 As QW-MOSFET Gate HfO 2 (2 nm) Al 2 O 3 (0.4 nm) (1 nm) In 0.53 Ga 0.47 As (15 nm) Cross section: Intrinsic portion of the device I D (A/ m) V DS =0.5 and 0.05 V L g = 300 m S min =69 mv/dec V GS (V) Fresh InP surface exposed right before high-k deposition Al 2 O 3 (0.4 nm) + HfO 2 (2 nm) [EOT of deposited insulator layer ~0.6 nm] InP thinned to ~ 1 nm [Gate- EOT~0.9 nm] 16

17 Benchmarking: Long-channel subthreshold swing on planar MOSFETs S min (mv/dec) D it =10 13 /cm 2 ev 1 III-V FETs MIT HEMT MOSFET This work EOT of dielectric (nm) qdi Smin 60(1 t ) mv/dec C ox EOT of dielectric refers to the deposited insulating layer Close to lowest S min reported in any III-V MOSFET: 66 mv/dec [EOT=1.2 nm] [Radosavljevic, IEDM 2011] 17

18 HfO 2 vs. Al 2 O 3 Recent study at U. Texas at Dallas: HfO 2 on InP yields lower D it than Al 2 O 3 D it (x10 12 cm -2 ev -1 ) 500 C PDA 100 HfO 2 10 Al 2 O 3 E V InGaAs E C E-E i (ev) D it (x10 12 cm -2 ev -1 ) C PDA Al 2 O 3 HfO 2 E V InP E C E-Ei (ev) [R. Galatage, R.M.Wallace, E.M.Vogel - UT Dallas] 18

19 HfO 2 vs. Al 2 O 3 C ( F/cm 2 ) Gate HfO 2 (2 nm) (1 nm) (10 nm) 50 k to 1.4 MHz HfO 2 (2 nm) V g (V) C ( F/cm 2 ) Gate Al 2 O 3 (2 nm) (1 nm) (10 nm) 50 k to 1.4 MHz Al 2 O 3 (2 nm) V g (V) Split C-V measurement on L g = 20 m Lower dispersion for HfO 2 below threshold 19

20 HfO 2 vs. Al 2 O 3 I D (A/ m) L g =150 nm V DS =0.5 V S min =95 mv/dec HfO 2 (2 nm) Al 2 O 3 /HfO 2 (0.4/2 nm) V GS (V) S (mv/dec) HfO 2 (2 nm) Al 2 O 3 /HfO 2 (0.4/2 nm) V DS =0.5 V, L g =150 nm I D (A/ m) First demonstration of HfO 2 directly on InP for InAs QW-MOSFET Steeper subthreshold swing at L g =150 nm at low V GS Lower EOT 20

21 bility in Long QW-MOSFETs e (cm 2 V -1 S -1 ) HfO 2 (2 nm) Al 2 O 3 (2 nm) N s (x10 12 cm -2 ) 4650 cm 2 V -1 s -1 at N s =4x10 12 cm -2 L g =20 m bility extracted by split C-V method Gate High-k (1 nm) (10 nm) : InGaAs/InAs/InGaAs (3/2/5 nm) N s not corrected by D it design beneficial to maintain high mobility: Undoped channel InAs-rich channel Buried-channel design 21

22 Conclusions Novel self-aligned gate-last MOSFET architecture: Self-aligned gate to contact metals (L side ~20-30 nm) Improved Si-MOS process compatibility Fresh InP surface exposed right before high-k deposition Deeply scaled dielectric Outstanding performance and short-channel effects in devices with L g =30 nm Demonstrated subthreshold swing of 69 mv/dec and mobility of 4650 cm 2 V -1 s -1 at N s =4x10 12 cm -2 in long channel QW-MOSFETs HfO 2 / InP dielectric for superior performance 22

23 Acknowledgement Fabrication facility at MIT labs: MTL, NSL, SEBL. Heterostructure grown by IntelliEPI Inc. MIT collaborators: L. Xia, D. Jin, A. Guo, X. Zhao, S. Warnock, L. Guo, J. Hoyt, J, Teherani, W. Chern. MSD collaborators: R. Galatage, R. M. Wallace, E. M. Vogel. Industrial collaborators: T.-W. Kim (Sematech), D.-H. Kim (Global Foundries), J.-M. Kuo (IntelliEPI). 23

24 Thank you. 24

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process Jianqiang Lin, Xin Zhao, Tao Yu, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

InGaAs MOSFETs for CMOS:

InGaAs MOSFETs for CMOS: InGaAs MOSFETs for CMOS: Recent Advances in Process Technology J. A. del Alamo, D. Antoniadis, A. Guo, D.-H. Kim 1, T.-W. Kim 2, J. Lin, W. Lu, A. Vardi and X. Zhao Microsystems Technology Laboratories,

More information

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach Xin Zhao, Jianqiang Lin, Christopher Heidelberger, Eugene A. Fitzgerald and Jesús A. del Alamo Microsystems Technology Laboratories, MIT

More information

III-V CMOS: the key to sub-10 nm electronics?

III-V CMOS: the key to sub-10 nm electronics? III-V CMOS: the key to sub-10 nm electronics? J. A. del Alamo Microsystems Technology Laboratories, MIT 2011 MRS Spring Meeting and Exhibition Symposium P: Interface Engineering for Post-CMOS Emerging

More information

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies J. A. del Alamo Microsystems Technology Laboratories, MIT ESSDERC-ESSCIRC 2013 Bucharest, Romania, September 16-20, 2013 Acknowledgements:

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

InGaAs Nanoelectronics: from THz to CMOS

InGaAs Nanoelectronics: from THz to CMOS InGaAs Nanoelectronics: from THz to CMOS J. A. del Alamo Microsystems Technology Laboratories, MIT IEEE International Conference on Electron Devices and Solid-State Circuits Hong Kong, June 3, 2013 Acknowledgements:

More information

InGaAs MOSFET Electronics

InGaAs MOSFET Electronics InGaAs MOSFET Electronics J. A. del Alamo Microsystems Technology Laboratories, MIT The 17 th International Symposium Physics of Semiconductors and Applications Jeju, Korea, December 7-11, 2014 Acknowledgements:

More information

Nanoscale III-V CMOS

Nanoscale III-V CMOS Nanoscale III-V CMOS J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology SEMI Advanced Semiconductor Manufacturing Conference Saratoga Springs, NY; May 16-19, 2016

More information

InAs Quantum-Well MOSFET for logic and microwave applications

InAs Quantum-Well MOSFET for logic and microwave applications AWAD June 29 th 2012 Accelerating the next technology revolution InAs Quantum-Well MOSFET for logic and microwave applications T.-W. Kim, R. Hill, C. D. Young, D. Veksler, L. Morassi, S. Oktybrshky 1,

More information

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs J. A. del Alamo Microsystems Technology Laboratories, MIT Purdue University, West Lafayette, IN; September 29,

More information

III-V Channel Transistors

III-V Channel Transistors III-V Channel Transistors Jesús A. del Alamo Professor Microsystems Technology Laboratories MIT Acknowledgements: Students and collaborators: D. Antoniadis, J. Lin, W. Lu, A. Vardi, X. Zhao Sponsors: Applied

More information

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs J. A. del Alamo, X. Zhao, W. Lu, and A. Vardi Microsystems Technology Laboratories Massachusetts Institute of Technology 5 th Berkeley

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices Jesús A. del Alamo, Xin Zhao, Wenjie Lu, Alon Vardi Microsystems Technology Laboratories, MIT E 3 S Retreat September

More information

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik InGaAs tri-gate MOSFETs with record on-current Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik Published in: 6 IEEE International Electron Devices Meeting, IEDM 6 DOI:.9/IEDM.6.7886

More information

InGaAs is a promising channel material candidate for

InGaAs is a promising channel material candidate for 468 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 30, NO. 4, NOVEMBER 2017 A Si-Compatible Fabrication Process for Scaled Self-Aligned InGaAs FinFETs A. Vardi, Member, IEEE, J.Lin,Member, IEEE,

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0. Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.3 V Memisevic, E.; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik;

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

Nanometer-scale InGaAs Field-Effect Transistors for THz and CMOS technologies

Nanometer-scale InGaAs Field-Effect Transistors for THz and CMOS technologies Nanometer-scale InGaAs Field-Effect Transistors for THz and CMOS technologies The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation

More information

In principle, the high mobilities of InGaAs and

In principle, the high mobilities of InGaAs and 114Conference report: IEDM part 2 Meeting the challenge of integrating III-Vs with deep submicron silicon High-mobility devices based on indium gallium arsenide (InGaAs) channels could benefit the performance

More information

Scaling of InGaAs MOSFETs into deep-submicron regime (invited)

Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Y.Q. Wu, J.J. Gu, and P.D. Ye * School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47906 * Tel: 765-494-7611,

More information

Single suspended InGaAs nanowire MOSFETs

Single suspended InGaAs nanowire MOSFETs Single suspended InGaAs nanowire MOSFETs Zota, Cezar B.; Wernersson, Lars-Erik; Lind, Erik Published in: Technical Digest - International Electron Devices Meeting, IEDM DOI:.9/IEDM.5.7988 Published: 6--6

More information

Acknowledgments: This work was supported by Air Force HiREV program and the DTRA Basic Research Program.

Acknowledgments: This work was supported by Air Force HiREV program and the DTRA Basic Research Program. Gate Bias and Geometry Dependence of Total-Ionizing-Dose Effects in InGaAs Quantum-Well MOSFETs K. Ni 1, E. X. Zhang 1, R. D. Schrimpf 1, D. M. Fleetwood 1, R. A. Reed 1, M. L. Alles 1, J. Lin 2, and J.

More information

General look back at MESFET processing. General principles of heterostructure use in FETs

General look back at MESFET processing. General principles of heterostructure use in FETs SMA5111 - Compound Semiconductors Lecture 11 - Heterojunction FETs - General HJFETs, HFETs Last items from Lec. 10 Depletion mode vs enhancement mode logic Complementary FET logic (none exists, or is likely

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Supporting Information

Supporting Information Supporting Information Fabrication and Transfer of Flexible Few-Layers MoS 2 Thin Film Transistors to any arbitrary substrate Giovanni A. Salvatore 1, *, Niko Münzenrieder 1, Clément Barraud 2, Luisa Petti

More information

1020 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016

1020 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016 1020 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016 InGaAs Quantum-Well MOSFET Arrays for Nanometer-Scale Ohmic Contact Characterization J. Lin, Student Member, IEEE, D. A. Antoniadis,

More information

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801 Comparative study of self-aligned and nonself-aligned SiGe p-metal oxide semiconductor modulation-doped field effect transistors with nanometer gate lengths Wu Lu Department of Electrical and Computer

More information

Fundamentals of III-V Semiconductor MOSFETs

Fundamentals of III-V Semiconductor MOSFETs Serge Oktyabrsky Peide D. Ye Editors Fundamentals of III-V Semiconductor MOSFETs Springer Contents 1 Non-Silicon MOSFET Technology: A Long Time Coming 1 Jerry M. Woodall 1.1 Introduction 1 1.2 Brief and

More information

Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si

Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si Berg, Martin; Persson, Karl-Magnus; Kilpi, Olli-Pekka; Svensson, Johannes; Lind, Erik; Wernersson, Lars-Erik Published in: Technical

More information

SEVERAL III-V materials, due to their high electron

SEVERAL III-V materials, due to their high electron IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 64, NO. 1, JANUARY 2017 239 Gate Bias and Geometry Dependence of Total-Ionizing-Dose Effects in InGaAs Quantum-Well MOSFETs Kai Ni, Student Member, IEEE, En Xia

More information

Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si

Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si Electrical Characterization and Modeling of Gate-Last Vertical InAs Nanowire MOSFETs on Si Berg, Martin; Kilpi, Olli-Pekka; Persson, Karl-Magnus; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik; Wernersson,

More information

Ultra High-Speed InGaAs Nano-HEMTs

Ultra High-Speed InGaAs Nano-HEMTs Ultra High-Speed InGaAs Nano-HEMTs 2003. 10. 14 Kwang-Seok Seo School of Electrical Eng. and Computer Sci. Seoul National Univ., Korea Contents Introduction to InGaAsNano-HEMTs Nano Patterning Process

More information

MOS Capacitance and Introduction to MOSFETs

MOS Capacitance and Introduction to MOSFETs ECE-305: Fall 2016 MOS Capacitance and Introduction to MOSFETs Professor Peter Bermel Electrical and Computer Engineering Purdue University, West Lafayette, IN USA pbermel@purdue.edu 11/4/2016 Pierret,

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

GaN power electronics

GaN power electronics GaN power electronics The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published Publisher Lu, Bin, Daniel Piedra, and

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) K. Moselund 1, D. Cutaia 1. M. Borg 1, H. Schmid 1, S. Sant 2, A. Schenk 2 and H. Riel 1 1 IBM Research

More information

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

CMOS beyond Si: Nanometer-Scale III-V MOSFETs

CMOS beyond Si: Nanometer-Scale III-V MOSFETs CMOS beyond Si: Nanometer-Scale III-V MOSFETs Jesús A. del Alamo, Xiaowei Cai, Jianqiang Lin, Wenjie Lu, Alon Vardi, Xin Zhao Microsystems Technology Laboratories, Massachusetts Institute of Technology,

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

32nm Technology and Beyond

32nm Technology and Beyond 32nm Technology and Beyond Paolo Gargini Chairman ITRS IEEE Fellow Director of Technology Strategy Intel Fellow ISS Europe 2009 P. Gargini 1 Agenda Equivalent Scaling 45nm Technology summary 32nm Technology

More information

Power, speed and other highlights at IEDM

Power, speed and other highlights at IEDM 98 Conference report: IEDM Power, speed and other highlights at IEDM Mike Cooke rounds up developments reported at December s 2010 IEEE International Electron Devices Meeting (IEDM) in San Francisco. The

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications

High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications Zhongda Li, John Waldron, Shinya Takashima, Rohan Dayal, Leila Parsa, Mona Hella, and T. Paul Chow Department

More information

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Prof. Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley,

More information

Nanometer-Scale III-V MOSFETs

Nanometer-Scale III-V MOSFETs Received 31 January 2016; revised 3 May 2016; accepted 11 May 2016. Date of publication 7 July, 2016; date of current version 23 August 2016. The review of this paper was arranged by Editor P. R. Berger.

More information

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) 3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) Pei W. Ding, Kristel Fobelets Department of Electrical Engineering, Imperial College London, U.K. J. E. Velazquez-Perez

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Alternative Channel Materials for MOSFET Scaling Below 10nm

Alternative Channel Materials for MOSFET Scaling Below 10nm Alternative Channel Materials for MOSFET Scaling Below 10nm Doug Barlage Electrical Requirements of Channel Mark Johnson Challenges With Material Synthesis Introduction Outline Challenges with scaling

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors Supplementary Information Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors J. A. Caraveo-Frescas and H. N. Alshareef* Materials Science and Engineering, King

More information

Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si

Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si Scaling of Vertical InAs GaSb Nanowire Tunneling Field-Effect Transistors on Si Memisevic, Elvedin; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik; Wernersson, Lars-Erik Published in: IEEE Electron

More information

Characterization of SOI MOSFETs by means of charge-pumping

Characterization of SOI MOSFETs by means of charge-pumping Paper Characterization of SOI MOSFETs by means of charge-pumping Grzegorz Głuszko, Sławomir Szostak, Heinrich Gottlob, Max Lemme, and Lidia Łukasiak Abstract This paper presents the results of charge-pumping

More information

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2 Contents Acknowledgements Curriculum Vitæ Abstract List of Figures List of Tables v vi viii xii xviii 1 Introduction 1 1.1 Si MOSFET Scaling......................... 2 2 General MOSFET Scaling Theory 7

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers

Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers Receivers & Array Workshop 2010 September 20th, 2010 Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers Andreas R. Alt, Colombo R. Bolognesi Millimeter-Wave Electronics Group (MWE)

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

Nanoelectronics and the Future of Microelectronics

Nanoelectronics and the Future of Microelectronics Nanoelectronics and the Future of Microelectronics Mark Lundstrom Electrical and Computer Engineering University, West Lafayette, IN August 22, 2002 1. Introduction 2. Challenges in Silicon Technology

More information

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

COMON De-Briefing. Prof. Benjamin Iñiguez

COMON De-Briefing. Prof. Benjamin Iñiguez COMON De-Briefing Prof. Benjamin Iñiguez Department of Electronic, Electrical and Automatic Control Engineering, Universitat Rovira i Virgili (URV) Tarragona, Spain benjamin.iniguez@urv.cat MOS-AK, Munich,

More information

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches University of Pennsylvania From the SelectedWorks of Nipun Sinha 29 Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches Nipun Sinha, University of Pennsylvania Timothy S.

More information

Welcome to. A facility within the Nanometer Structure Consortium (nmc) at Lund University. nanolab. lund

Welcome to. A facility within the Nanometer Structure Consortium (nmc) at Lund University. nanolab. lund lund nanolab Welcome to A facility within the Nanometer Structure Consortium (nmc) at Lund University »It s a dream come true. This is the lab I always dreamt of. I didn t know it would ever exist.«ivan

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

FOUNDRY SERVICE. SEI's FEATURE. Wireless Devices FOUNDRY SERVICE. SRD-800DD, SRD-500DD D-FET Process Lg=0.8, 0.5µm. Ion Implanted MESFETs SRD-301ED

FOUNDRY SERVICE. SEI's FEATURE. Wireless Devices FOUNDRY SERVICE. SRD-800DD, SRD-500DD D-FET Process Lg=0.8, 0.5µm. Ion Implanted MESFETs SRD-301ED FOUNDRY SERVICE 01.04. Foundry services have been one of the core businesses at SEI, providing sophisticated GaAs IC technology for all customers. SEI offers very flexible service to support the customers

More information

Fabrication of High-Speed Resonant Cavity Enhanced Schottky Photodiodes

Fabrication of High-Speed Resonant Cavity Enhanced Schottky Photodiodes Fabrication of High-Speed Resonant Cavity Enhanced Schottky Photodiodes Abstract We report the fabrication and testing of a GaAs-based high-speed resonant cavity enhanced (RCE) Schottky photodiode. The

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

Transistors for VLSI, for Wireless: A View Forwards Through Fog

Transistors for VLSI, for Wireless: A View Forwards Through Fog Plenary, Device Research Conference, June 22, 2015, Ohio State Transistors for VLSI, for Wireless: A View Forwards Through Fog Mark Rodwell, UCSB Low-voltage devices P. Long, E. Wilson, S. Mehrotra, M.

More information

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs MBE 2008, Vancouver, B.C. Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs Mark Wistey, Greg Burek, Uttam Singisetti, Austin Nelson, Brian Thibeault, Joël Cagnon, Susanne Stemmer, Arthur

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Performance Analysis of 20 nm Pentagonal and Trapezoidal NanoWire Transistor with Si and Ge Channel

Performance Analysis of 20 nm Pentagonal and Trapezoidal NanoWire Transistor with Si and Ge Channel Performance Analysis of 20 nm Pentagonal and Trapezoidal NanoWire Transistor with Si and Ge Channel SANDEEP SINGH GILL 1, JAIDEV KAUSHIK 2, NAVNEET KAUR 3 Department of Electronics and Communication Engineering

More information

Effective Channel Mobility of AlGaN/GaN-on-Si Recessed-MOS-HFETs

Effective Channel Mobility of AlGaN/GaN-on-Si Recessed-MOS-HFETs JOURNAL OF SEMICONUCTOR TECHNOLOGY AN SCIENCE, VOL.16, NO.6, ECEMBER, 216 ISSN(Print) 1598-1657 https://doi.org/1.5573/jsts.216.16.6.867 ISSN(Online) 2233-4866 Effective Channel Mobility of AlGaN/GaN-on-Si

More information

Optical Fiber Communication Lecture 11 Detectors

Optical Fiber Communication Lecture 11 Detectors Optical Fiber Communication Lecture 11 Detectors Warriors of the Net Detector Technologies MSM (Metal Semiconductor Metal) PIN Layer Structure Semiinsulating GaAs Contact InGaAsP p 5x10 18 Absorption InGaAs

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications Radhakrishnan Sithanandam and M. Jagadesh Kumar, Senior Member, IEEE Department of Electrical Engineering Indian Institute

More information

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP) Science in China Series E: Technological Sciences 2009 SCIENCE IN CHINA PRESS www.scichina.com tech.scichina.com Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets

More information

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o. Layout of a Inverter Topic 3 CMOS Fabrication Process V DD Q p Peter Cheung Department of Electrical & Electronic Engineering Imperial College London v i v o Q n URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk

More information

NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor

NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor Jie Xiang Electrical and Computer Engineering and Materials Science Engineering University of California, San Diego

More information

Silicon Single-Electron Devices for Logic Applications

Silicon Single-Electron Devices for Logic Applications ESSDERC 02/9/25 Silicon Single-Electron Devices for Logic Applications NTT Basic Research Laboratories Yasuo Takahashi Collaborators: : Yukinori Ono, Akira Fujiwara, Hiroshi Inokawa, Kenji Shiraishi, Masao

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology

InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology pss-header will be provided by the publisher Review copy not for distribution 0 0 (pss-logo will be inserted here by the publisher) InGaAs channel MOSFET with self-aligned source/drain MBE regrowth technology

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Fully Depleted Devices

Fully Depleted Devices 4 Fully Depleted Devices FDSOI and FinFET Bruce Doris, Ali Khakifirooz, Kangguo Cheng, and Terence Hook CONTENTS 4.1 Overview... 71 4.2 Introduction: Challenges of Conventional CMOS Technology...72 4.3

More information

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation Australian Journal of Basic and Applied Sciences, 2(3): 406-411, 2008 ISSN 1991-8178 Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation 1 2 3 R. Muanghlua, N. Vittayakorn and A.

More information

Parylene-Based Double-Layer Gate Dielectrics for

Parylene-Based Double-Layer Gate Dielectrics for Supporting Information Parylene-Based Double-Layer Gate Dielectrics for Organic Field-Effect Transistors Hyunjin Park, Hyungju Ahn, Jimin Kwon, Seongju Kim, and Sungjune Jung *,, Department of Electrical

More information

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 69 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array Roland Jäger and Christian Jung We have designed and fabricated

More information

problem grade total

problem grade total Fall 2005 6.012 Microelectronic Devices and Circuits Prof. J. A. del Alamo Name: Recitation: November 16, 2005 Quiz #2 problem grade 1 2 3 4 total General guidelines (please read carefully before starting):

More information

Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs

Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs Jie Min 1, Peter Asbeck UCSD 1 Present address: Global Foundries, Santa Clara, CA Schematic TFET Structures Based on

More information

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2 Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS 2 /hon a 300- BN/graphene heterostructures. a, CVD-grown b, Graphene was patterned into graphene strips by oxygen monolayer

More information