CMOS Scaling Beyond FinFETs: Nanowires and TFETs

Size: px
Start display at page:

Download "CMOS Scaling Beyond FinFETs: Nanowires and TFETs"

Transcription

1 SEMATECH Symposium June 23, 2011 Tokyo Accelerating the next technology revolution CMOS Scaling Beyond FinFETs: Nanowires and TFETs Chris Hobbs, Wei-Yip Loh, Kerem Akarvardar, Paul Kirsch, and Raj Jammy June 22, 2010 Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

2 Outline Advanced CMOS Scaling Overview Nanowires TFETs Summary 14 June

3 Device scaling options I d,sat V g 14 June

4 Device scaling options I d,sat V g 14 June

5 Device scaling options 1 Very high mobility/high injection velocity Ge, Ge, InGaAs Graphene [ e ~15000 cm 2 /V-s at RT] I d,sat V g 14 June

6 Device scaling options 2 1 Very high mobility/high injection velocity Ge, Ge, InGaAs Graphene [ e ~15000 cm 2 /V-s at RT] I d,sat Better electrostatic control Multiple gates + more channel area FinFETs, nanowire FET V g 14 June

7 Why are Multi-Gates beneficial? ngle Gate Device Source Gate Channel Extension Halo Gate can t control down here, so drain leaks to source Well Conventional MOSFET Scaling to improve performance Drain Source Source and drain are much closer Gate looses control of channel region Thin silicon channel with gate on both sides helps maintain channel control. Lg Drain Double Gate Device Source Gates on both sides Thin licon Channel Drain Gate Wafer Surface Fin Source FinFET Drain 4-Gate Device Gate Source Nanowire Drain 14 June

8 Performance and power tradeoff Typical Ion-Ioff for CMOSFETs Same transistor with specifications tuned for performance or cost. 14 June

9 Performance and power tradeoff Typical Ion-Ioff for CMOSFETs All Face Transistor Scaling Issues (need new materials/architectures/novel processes) Same transistor with specifications tuned for performance or cost. 14 June

10 MOSFET scaling trends Planar New materials -Ge Device III-V Device 12nm+ 45nm High-K 32nm 22nm? 16nm? SEMATECH, VLSI 2009 Intel, IEDM 2007,9 SEMATECH, IEDM 2010 (Production) Intel IEDM (Production) Intel IEDM 2009 Past: Performance improved by scaling device dimensions. Now: Performance improved by Novel Materials and Architectures. Planar CMOS and Beyond: A continuous spectrum of devices. IBM, IEDM Non planar Intel Tri-Gate, VLSI nm Length B. Doris IEDM 2002 NXP FINFET, VLSI 2007 SEMATECH, IEDM 2009 Nano-wire (LETI IEDM 08) 14 June

11 Non-planar devices Motivation: Gate wrap-around helps control short channel effects in scaled devices High mobility channels enables higher drive currents Scaling Pathways w and w/o 3 rd gate? OR High Bulk vs SOI Heterogeneous High OR Homgeneous N HM HfO 2 TiN BOX 14 June

12 Critical FinFET/Trigate/Nanowire Modules Source/Drain SEG, doping and silicide Gate etch Fin Scaling and smoothness Source/Drain SEG, doping and silicide Gate etch NW Scaling and smoothness Ge Ge Ge Ge Processing and integration Group IV channel material Spacer etch and process schemes FinFET/Trigate Processing and integration Group IV channel material BOX Spacer etch and process schemes Nanowire Most nanowire module issues are similar to FinFET module issues with added degree of integration complexity. 14 June

13 licon Nanowires W mask = 50 nm source suspended wires 450 nm drain MG HiK 10 nm ngle Nanowire licide Data V D = 1 V W mask = 50 nm 450 nm I D (A/um) PFET V D = 50 mv NFET Gate length = 40 nm NW width = 50 nm NW height = 20 nm V GS (V) 14 June

14 Gate wraparound improves rolloff DIBL (V/V) Swing (V/dec) V DS = -50 mv BOX Lmask (nm) Omega Gate FinFET N HM HfO 2 TiN PFET PFET Nanowire device has smaller rolloff compared to FinFET. Wrapping gate around channel improves short channel control. Long channel SS is similar for Omega-Gate and FinFET. Vdd scaling limited by SS. Different device structure needed to reduce Vdd. TFET! Gate-All-Around (GAA) Device: Total current in nanowire limited by crossectional area. Multiple GAA nanowires to meet ITRS targets. In contrast, total current in FinFET can be increased with taller fins. 14 June

15 Stacked nanowire formation using Ge Ge/ Superlattice Fin etch Selective Ge etch Ge Ge BOX Ge Ge BOX Ge Ge Suspended NWs Pt N Ge Ge 200 nm BOX BOX Ge Ge Stacking nanowires helps increase total drive current to meet ITRS targets. 14 June

16 High mobility Ge FinFETs/nanowires eff (cm 2 /V-s) (110) (100) Ge {110}<110> Ge {100}<100> {110}<110> {100}<100> (100) universal Ge fin (Tinv= 1.8nm) 0 0 1x x10 13 N INV (#/cm 3 ) shell/core fin (Tinv=1.5nm) fin (Tinv = 1.2nm) Universal (100) Extracted by Split CV Method Ge PFETs have higher mobility than fins. Potential for performance > strained in non-planar devices 14 June

17 Outline Advanced CMOS Scaling Overview Nanowires TFETs Summary 14 June

18 Device scaling options 2 1 Very high mobility/high injection velocity Ge, Ge, InGaAs Graphene [ e ~15000 cm 2 /V-s at RT] I d,sat V g Better electrostatic control Multiple gates + more channel area FinFETs, nanowire FET Improve on-off ratio Tunnel FET Very steep SS << 60 mv/dec Low bias voltages (<< 1V) Nano Electro Mechanical switch (NEMS) Hybrid: I on by CMOS + I off by NEMS Zero Leakage Power 14 June

19 Device scaling options 2 1 Very high mobility/high injection velocity Ge, Ge, InGaAs Graphene [ e ~15000 cm 2 /V-s at RT] I d,sat 3 V g Better electrostatic control Multiple gates + more channel area FinFETs, nanowire FET Improve on-off ratio Tunnel FET Very steep SS << 60 mv/dec Low bias voltages (<< 1V) Nano Electro Mechanical switch (NEMS) Hybrid: I on by CMOS + I off by NEMS Zero Leakage Power 14 June

20 V CC scaling for green electronics Power Density (W/cm 2 ) 1E+03 Active Power Density 1E+02 1E+01 1E+00 1E-01 1E-02 1E-03 Passive Power Density 1E-04 1E Gate Length (μm) (B. Meyerson et al.,, IBM, Semico Conf., 2004) (P. Packan (Intel), 2007 IEDM Short Course) Passive power has shown continuous increase due to V DD scaling limit. V CC scaling limited by V T and subthreshold slope (which is kt/q limited) need green devices not governed by kt/q ~ 60mV/dec limit. 14 June

21 Working mechanism of TFET Log I D Log I D Low I ON MOSFET High I OFF Operation Range TFET Energy [ev] Cox q exp( V ) GS C C kt Band-to-Band Tunneling, SS < 60mV/dec E c E v I DS ON C OX C DEP ox OFF V G dep φ S E C E V X [nm] MOSFET: For Narrow On/Off Voltage Range: Low Ioff Low Ion High Ion High Ioff Electrons go over thermionic energy barrier Boltzmann distribution of carriers causes leakage. TFET: Carriers go through the energy barrier. 14 June

22 PIN tunneling FETs I D [A/um] mV/dec V G [V] 5x10-6 4x10-6 3x10-6 2x10-6 1x10-6 V G =-2.0V V G =-1.5V V G =-1.0V V D [V] Several types TFETs with PIN, Metal Schottky PIN and -pocket PIN have been demonstrated. Ultra-low subthreshold of < 50 mv/dec has been achieved over 10 3 order of drive current. SEMATECH-UCB DARPA STEEP Project Very Low SS, need more Ion. 14 June

23 N D activation for high I on TFET SEMATECH-UCB ESSDERC 2010 Ni n+ L g = 56nm Metal gate 80nm N spacer p+ High-K Ni I D (A/ m) 10-3 Experimental m. Overlap 10nm 10-4 m. Overlap 5nm m. Overlap 0nm Highest I on (~ 109 A/ m) at Vcc = 1.0V for TFET using optimized flash anneal for N d activation. Good Ion, poor SS. [1] IEDM Tech. Dig. 2009, p.949. [2] IEDM Tech. Dig. 2008, p [3] IEDM Tech. Dig. 2008, p [4] IEEE Trans, ED., vol 51(2), p. 279, [5] IEEE EDL, vol. 28(8), p. 743, [6] 40th ESSDERC 2010, p V gate -V BT (V) I drain (A/ m) L g ~ 46 nm V g = 0V I Thermonic I Tunnel Temp = 213K~313K in step of 20K V ds (V) Channel SS (mv/dec) Ion 1 References V ds (V) Ion/Ioff 1 RT ( A/ m) S. Mookerjea [1] InGaAs 150~ > 10 3 T. Krishnamohan [2] Ge 50 ~ T. Krishnamohan [2] > 10 2 F. Mayer [3] Ge > > 10 2 F. Mayer [3] 42 ~ K. K. Bhuwalka [4] W. Y. Choi [5] * This work [6] 120 ~ > > Ion is taken at overdrive of V g -V BT = 2.0V except for *. Ioff taken at onset of BT-BT, V BT 14 June

24 E g engineering : H-TFET Effective E g can be engineered by using heterostructure (e.g. Ge on ) Ge % from 25 ~ 50% Bandgap engineering to enhance tunneling Abrupt doping gradient by in-situ B- doped Ge and post annealing n+ (Drain) Gate i- p+ge (Source) Heterostructure TFET Ec offset and bandgap narrowing for high tunneling g g g g SEMATECH-UCB DARPA Joint Project Much lighter Hole mass 14 June

25 III-V tunnel FETs 1E-03 Drain Current (A/µm) 1E-06 1E-09 (InAs) Eg=0.36eV, Vd=0.2V (Ge) Eg=0.69eV, Vd=0.5V () Eg=1.1eV, Vd=1V Gate Voltage (V) [C. Hu et al, VLSI-TSA, pp.14-15, 2008] D it (#/cm 2 /ev High Dit In 0.53 GaAs CB edge VB edge p-type n-type V gate (V) I diode (A/cm 2 ) Junction Leakage n+i-p+ In 0.53 GaAs Diodes 1st lot 2nd lot V diode (V) Tunneling is a strong function of bandgap. III-V has smaller bandgap and heterostructures (e.g. InAs/Al x Ga 1-x Sb) have staggered or even zero bandgap direct tunneling. Preliminary InGaAs TFETs results indicates further optimization is needed to improve the poor SS, high I off, high Dit and poor R co. 14 June

26 Novel design: pocket structure TFET P+ Pocket N+ Source P+ Drain P- Buried Oxide [ C. Hu et al, VLSI-TSA, April, 2008 ] Large field, good capacitive coupling btw gate & pocket Abrupt turn-on due to overlap of valence/conduction bands Tunable turn-on voltage 14 June

27 Dopant-segregated -pocket TFET SEMATECH-UCB VLSI Symp Achieved sub-60 mv/dec (46mV/dec) with 30% dies showing sub- 60mV/dec TFET with high-k/mg 100nm Ni BOX Ni Gate N+ BOX Probability Schottky-Source 0.1 P-I-N licon TFET Subthreshold Swing [mv/dec] I D [A/ m] Measured m. w/ pocket m. no pocket Ni Ni V G [V] Gate BOX N+ < Pocket > Gate BOX N+ < No Pocket > 14 June

28 PVCR I drain (A/ m S-MLD pocket InGaAs pocket TFETs V g -V BT = 0.2 V to 1.5 V in step of 0.1 V L g = 100 nm K Control pocket Control V gate (V) Pocket V drain (V) D it (#/cm 2 /ev VB edge p-type Control Pocket CB edge V gate (V) n-type P++ P+ i AlO x N+ TFET with pocket n+ Tunneling Front P++ P+ N+ N+/p- pocket structure achieved on InGaAs TFET. Enhanced drive current obtained due to enhanced vertical field at gated pocket n-p+ junction. Improved gate coupling and Dit observed. AlO x Control TFET i 14 June

29 I drain (A/ m mulation of TFETs Ge/ pocket [1] Ge pocket [1] IV TFETs (mulation) s-ge/s- [2] pocket [1] Ge UTB [4] 60 mv/dec PNPN [5] Intel 32nm LP IEDM 2009 [9] Ge-source NW [3] Ge NW [3] TFET Ge TFET MOSFET V gate (V) NW [3] I drain (A/ m IIIV TFETs (mulation) SG Pocket 10-3 E g =0.36 [1] GaSb-InAs UTB [7] GaSb-InAs NW [7] InSb UTB [7] InSb NW [7] 60 mv/dece g = 0.17 ev Intel 32nm LP IEDM 2009 [9] InAs NW [6] E g = 0.37 ev V gate (V) [1] C. Hu et al. (invited), VLSI-TSA 2008 [2] O.M. Nayfeh et al., EDL, 1074, [3] A.S. Verhulst et al., APL, 104, , [4] Q. Zhang et al., Solid-State Elect. 30, [5] V. Nagavarapu et al., TED, 1013, [6] M. Luisier et al., EDL, 602, [7] M. Luisier et al., IEDM, 913, [8] S. Mookerjea et al., IEDM, 949, InGaAs [8] E g = 0.72 ev 14 June

30 Current TFET performance I drain (A/ m P-TFET (experimental) 32nm pfet [9] (LP) DSS TFET [17] L g = 20 m 60 mv/dec 10-9 SOI TFET [14] L g = 100 nm V gate (V) p-channel TFET [16] L g = 56 nm I drain (A/ m N-TFET (experimental) n-channel TFET[12] Lg = 70nm 60 mv/dec Ge-source TFET [15] L g = 5 m In 0.7 GaAs In 0.53 GaAs TFET [13] TFET [8] L g = 100nm L g = 100nm V gate (V) PNPN TFET [5] L g = 1 m Experiments show higher sub-threshold slope than simulations. 32nm nfet No physical demonstration of TFET with both high Ion > 100 A/ m and SS < 60 mv/dec has been demonstrated so far. GeOI TFET [14] L g = 0.4 m 14 June

31 Summary Power Constrained CMOS Scaling requires new materials and device structures to enable continued scaling. Nanowires: Better short channel control than FinFETs with added degree of integration complexity TFETs: Band to band tunneling transport mechanism allows for sub- 60mV subthreshold slope Vcc reduction lower power consumption TFETs simulations show promise for Vcc reduction and additional process improvements are needed to improve device performance. 14 June

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

InAs Quantum-Well MOSFET for logic and microwave applications

InAs Quantum-Well MOSFET for logic and microwave applications AWAD June 29 th 2012 Accelerating the next technology revolution InAs Quantum-Well MOSFET for logic and microwave applications T.-W. Kim, R. Hill, C. D. Young, D. Veksler, L. Morassi, S. Oktybrshky 1,

More information

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) K. Moselund 1, D. Cutaia 1. M. Borg 1, H. Schmid 1, S. Sant 2, A. Schenk 2 and H. Riel 1 1 IBM Research

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Prof. Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley,

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

III-V CMOS: the key to sub-10 nm electronics?

III-V CMOS: the key to sub-10 nm electronics? III-V CMOS: the key to sub-10 nm electronics? J. A. del Alamo Microsystems Technology Laboratories, MIT 2011 MRS Spring Meeting and Exhibition Symposium P: Interface Engineering for Post-CMOS Emerging

More information

Experimentally reported sub-60mv/dec

Experimentally reported sub-60mv/dec Experimentally reported sub-60mv/dec swing in Tunnel FETs? 1 We considered InAs conventional, lateral transistor architectures: GAA nanowire, Fin FETs FETs (Tri gate) UTB,DG SOI Analysis is not directly

More information

Tunneling Field Effect Transistors for Low Power ULSI

Tunneling Field Effect Transistors for Low Power ULSI Tunneling Field Effect Transistors for Low Power ULSI Byung-Gook Park Inter-university Semiconductor Research Center and School of Electrical and Computer Engineering Seoul National University Outline

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Device architectures for the 5nm technology node and beyond Nadine Collaert

Device architectures for the 5nm technology node and beyond Nadine Collaert Device architectures for the 5nm technology node and beyond Nadine Collaert Distinguished member of technical staff, imec Outline Introduction Beyond FinFET: lateral nanowires and vertical transistors

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors.

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors. On May 4, 2011, Intel Corporation announced what it called the most radical shift in semiconductor technology in 50 years. A new 3 dimensional transistor design will enable the production of integrated

More information

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 5 November 2015 ISSN (online): 2349-784X Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

More information

InGaAs MOSFETs for CMOS:

InGaAs MOSFETs for CMOS: InGaAs MOSFETs for CMOS: Recent Advances in Process Technology J. A. del Alamo, D. Antoniadis, A. Guo, D.-H. Kim 1, T.-W. Kim 2, J. Lin, W. Lu, A. Vardi and X. Zhao Microsystems Technology Laboratories,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Challenges and Innovations in Nano CMOS Transistor Scaling

Challenges and Innovations in Nano CMOS Transistor Scaling Challenges and Innovations in Nano CMOS Transistor Scaling Tahir Ghani Intel Fellow Logic Technology Development October, 2009 Nikkei Presentation 1 Outline Traditional Scaling Traditional Scaling Limiters,

More information

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5 Eigen # Gate Gate Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET Lecture 5 Thin-Body MOSFET Carrier Transport quantum confinement effects low-field mobility: Orientation and Si Thickness

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Sustaining the Si Revolution: From 3D Transistors to 3D Integration

Sustaining the Si Revolution: From 3D Transistors to 3D Integration Sustaining the Si Revolution: From 3D Transistors to 3D Integration Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley, CA USA February 23, 2015

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Band-to-Band Tunnel Transistor Design and Modeling for Low Power Applications

Band-to-Band Tunnel Transistor Design and Modeling for Low Power Applications Band-to-Band Tunnel Transistor Design and Modeling for Low Power Applications Kanghoon Jeon Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2012-86

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Alternative Channel Materials for MOSFET Scaling Below 10nm

Alternative Channel Materials for MOSFET Scaling Below 10nm Alternative Channel Materials for MOSFET Scaling Below 10nm Doug Barlage Electrical Requirements of Channel Mark Johnson Challenges With Material Synthesis Introduction Outline Challenges with scaling

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

Nanoscale III-V CMOS

Nanoscale III-V CMOS Nanoscale III-V CMOS J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology SEMI Advanced Semiconductor Manufacturing Conference Saratoga Springs, NY; May 16-19, 2016

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices Jesús A. del Alamo, Xin Zhao, Wenjie Lu, Alon Vardi Microsystems Technology Laboratories, MIT E 3 S Retreat September

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors Contemporary Engineering Sciences, Vol. 6, 2013, no. 6, 273-284 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3632 Study of Pattern Area of Logic Circuit with Tunneling Field-Effect

More information

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik InGaAs tri-gate MOSFETs with record on-current Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik Published in: 6 IEEE International Electron Devices Meeting, IEDM 6 DOI:.9/IEDM.6.7886

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) 3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) Pei W. Ding, Kristel Fobelets Department of Electrical Engineering, Imperial College London, U.K. J. E. Velazquez-Perez

More information

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs J. A. del Alamo Microsystems Technology Laboratories, MIT Purdue University, West Lafayette, IN; September 29,

More information

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation Australian Journal of Basic and Applied Sciences, 2(3): 406-411, 2008 ISSN 1991-8178 Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation 1 2 3 R. Muanghlua, N. Vittayakorn and A.

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

InGaAs MOSFET Electronics

InGaAs MOSFET Electronics InGaAs MOSFET Electronics J. A. del Alamo Microsystems Technology Laboratories, MIT The 17 th International Symposium Physics of Semiconductors and Applications Jeju, Korea, December 7-11, 2014 Acknowledgements:

More information

Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design

Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design 9/25/2002 Jun Yuan, Peter M. Zeitzoff*, and Jason C.S. Woo Department of Electrical Engineering University

More information

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies J. A. del Alamo Microsystems Technology Laboratories, MIT ESSDERC-ESSCIRC 2013 Bucharest, Romania, September 16-20, 2013 Acknowledgements:

More information

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area. Why Scaling? Higher density : Integration of more transistors onto a smaller chip : reducing the occupying area and production cost Higher Performance : Higher current drive : smaller metal to metal capacitance

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

32nm Technology and Beyond

32nm Technology and Beyond 32nm Technology and Beyond Paolo Gargini Chairman ITRS IEEE Fellow Director of Technology Strategy Intel Fellow ISS Europe 2009 P. Gargini 1 Agenda Equivalent Scaling 45nm Technology summary 32nm Technology

More information

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Chenming Hu and Je Min Park Univ. of California, Berkeley -1- Outline Introduction Background and Motivation MOSFETs with Vacuum-Spacer

More information

Design of Tunnel FET and its Performance characteristics with various materials

Design of Tunnel FET and its Performance characteristics with various materials Design of Tunnel FET and its Performance characteristics with various materials 1 G.SANKARAIAH, 2 CH.SATHYANARAYANA 1 PG Student Sreenidhi Institute of Science and Technology, 2 Assistant Professor 1,

More information

InGaAs Nanoelectronics: from THz to CMOS

InGaAs Nanoelectronics: from THz to CMOS InGaAs Nanoelectronics: from THz to CMOS J. A. del Alamo Microsystems Technology Laboratories, MIT IEEE International Conference on Electron Devices and Solid-State Circuits Hong Kong, June 3, 2013 Acknowledgements:

More information

Performance Evaluation of MISISFET- TCAD Simulation

Performance Evaluation of MISISFET- TCAD Simulation Performance Evaluation of MISISFET- TCAD Simulation Tarun Chaudhary Gargi Khanna Rajeevan Chandel ABSTRACT A novel device n-misisfet with a dielectric stack instead of the single insulator of n-mosfet

More information

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS Tsu-Jae King, Yang-Kyu Choi, Pushkar Ranade^ and Leland Chang Electrical Engineering and Computer Sciences Dept., ^Materials Science and Engineering

More information

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0. Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.3 V Memisevic, E.; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik;

More information

High performance Hetero Gate Schottky Barrier MOSFET

High performance Hetero Gate Schottky Barrier MOSFET High performance Hetero Gate Schottky Barrier MOSFET Faisal Bashir *1, Nusrat Parveen 2, M. Tariq Banday 3 1,3 Department of Electronics and Instrumentation, Technology University of Kashmir, Srinagar,

More information

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications Vice President, Technology Manufacturing Group Intel Corporation August 2013 Outlines

More information

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Journal of Electron Devices, Vol. 18, 2013, pp. 1537-1542 JED [ISSN: 1682-3427 ] DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Suman Lata Tripathi and R. A.

More information

Vertical Nanowire Gate-All-Around p-type Tunneling Field-Effect Transistor With Si 0.8 Ge 0.2 /Si Heterojunction

Vertical Nanowire Gate-All-Around p-type Tunneling Field-Effect Transistor With Si 0.8 Ge 0.2 /Si Heterojunction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Vertical Nanowire Gate-All-Around p-type Tunneling Field-Effect Transistor

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS

EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS B. Lakshmi 1 and R. Srinivasan 2 1 School of Electronics Engineering, VIT University, Chennai,

More information

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering

Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering Atom Probe Tomography for Dopants in FinFETs Lecture 8 A.K. Kambham (imec), VLSI-T 2012 Thin-Body MOSFET s Process II Source/Drain Technologies Threshold Voltage Engineering Reading: multiple research

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Peering into Moore s

Peering into Moore s Peering into Moore s Crystal Ball: Transistor Scaling beyond the 15nm node Kelin J. Kuhn Intel Fellow Director of Advanced Device Technology Portland Technology Development Intel Corporation 1 AGENDA Scaling

More information

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Jianqiang Lin, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France FDSOI for Low Power System on Chip M.HAOND STMicroelectronics, Crolles, France OUTLINE Introduction : Motivations for FDSOI FDSOI Presentation & Short Channel control MOS VT Construction Performance Analysis

More information

Sub-20nm Novel Silicon based transistors

Sub-20nm Novel Silicon based transistors Sub-2nm Novel licon based transistors Yu-Lin Chao, Venkaragirish N., Ritesh Jhaveri, Ahmet Tura, and Jason C. S. Woo Department of Electrical Engineering, University of California, Los Angeles Aggressive

More information

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2

Acknowledgements. Curriculum Vitæ. List of Figures. List of Tables. 1 Introduction Si MOSFET Scaling... 2 Contents Acknowledgements Curriculum Vitæ Abstract List of Figures List of Tables v vi viii xii xviii 1 Introduction 1 1.1 Si MOSFET Scaling......................... 2 2 General MOSFET Scaling Theory 7

More information

Semiconductor TCAD Tools

Semiconductor TCAD Tools Device Design Consideration for Nanoscale MOSFET Using Semiconductor TCAD Tools Teoh Chin Hong and Razali Ismail Department of Microelectronics and Computer Engineering, Universiti Teknologi Malaysia,

More information

MOS Capacitance and Introduction to MOSFETs

MOS Capacitance and Introduction to MOSFETs ECE-305: Fall 2016 MOS Capacitance and Introduction to MOSFETs Professor Peter Bermel Electrical and Computer Engineering Purdue University, West Lafayette, IN USA pbermel@purdue.edu 11/4/2016 Pierret,

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Transistors for VLSI, for Wireless: A View Forwards Through Fog

Transistors for VLSI, for Wireless: A View Forwards Through Fog Plenary, Device Research Conference, June 22, 2015, Ohio State Transistors for VLSI, for Wireless: A View Forwards Through Fog Mark Rodwell, UCSB Low-voltage devices P. Long, E. Wilson, S. Mehrotra, M.

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

Copyright notice. This paper is a Postprint version of the paper

Copyright notice. This paper is a Postprint version of the paper Copyright notice This paper is a Postprint version of the paper Cavalheiro, D.; Moll, F.; Valtchev, S., Novel charge pump converter with Tunnel FET devices for ultra-low power energy harvesting sources,

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Sub-Threshold Region Behavior of Long Channel MOSFET

Sub-Threshold Region Behavior of Long Channel MOSFET Sub-threshold Region - So far, we have discussed the MOSFET behavior in linear region and saturation region - Sub-threshold region is refer to region where Vt is less than Vt - Sub-threshold region reflects

More information

Active Technology for Communication Circuits

Active Technology for Communication Circuits EECS 242: Active Technology for Communication Circuits UC Berkeley EECS 242 Copyright Prof. Ali M Niknejad Outline Comparison of technology choices for communication circuits Si npn, Si NMOS, SiGe HBT,

More information

Performance Analysis of InGaAs Double Gate MOSFET

Performance Analysis of InGaAs Double Gate MOSFET Performance Analysis of InGaAs Double Gate MOSFET Ms. Karthika Rani P, Ms. Kavitha T Abstract-Technological improvements have been made due to the scaling of device dimensions in order to attain continuous

More information

CMOS Scaling and Variability

CMOS Scaling and Variability WIMNACT WS & IEEE EDS Mini-colloquim on Nano-CMOS Technology January 3, 212, TITECH, Japan CMOS Scaling and Variability 212. 1. 3 NEC Tohru Mogami WIMNACT WS 212, January 3, Titech 1 Acknowledgements I

More information

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs J. A. del Alamo, X. Zhao, W. Lu, and A. Vardi Microsystems Technology Laboratories Massachusetts Institute of Technology 5 th Berkeley

More information

Fully Depleted Devices

Fully Depleted Devices 4 Fully Depleted Devices FDSOI and FinFET Bruce Doris, Ali Khakifirooz, Kangguo Cheng, and Terence Hook CONTENTS 4.1 Overview... 71 4.2 Introduction: Challenges of Conventional CMOS Technology...72 4.3

More information

A novel GAAC FinFET transistor: device analysis, 3D TCAD simulation, and fabrication

A novel GAAC FinFET transistor: device analysis, 3D TCAD simulation, and fabrication Vol.30, No.1 Journal of Semiconductors January 2009 A novel GAAC FinFET transistor: device analysis, 3D TCAD simulation, and fabrication Xiao Deyuan( 肖德元 ) 1,2,, Wang Xi( 王曦 ) 1, Yuan Haijiang( 袁海江 ) 3,

More information

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP) Science in China Series E: Technological Sciences 2009 SCIENCE IN CHINA PRESS www.scichina.com tech.scichina.com Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

III-V Channel Transistors

III-V Channel Transistors III-V Channel Transistors Jesús A. del Alamo Professor Microsystems Technology Laboratories MIT Acknowledgements: Students and collaborators: D. Antoniadis, J. Lin, W. Lu, A. Vardi, X. Zhao Sponsors: Applied

More information

Tunnel FETs trends and challenges

Tunnel FETs trends and challenges Tunnel FETs trends and challenges NEREID Nanoscale FET Workshop Bertinoro, Oct. 2016 -NEREID H2020 ICT CSA- Francis Balestra IMEP-LAHC Grenoble INP-Minatec/CNRS Introduction: Challenges of nanodevices

More information

Leakage Modeling for Devices with Steep Sub-threshold Slope Considering Random Threshold Variations

Leakage Modeling for Devices with Steep Sub-threshold Slope Considering Random Threshold Variations Leakage Modeling for Devices with Steep Sub-threshold Slope Considering Random Threshold Variations Ayan Paul, Chaitanya Kshirsagar, Sachin S. Sapatnekar, Steven Koester and Chris H. Kim Electrical and

More information

NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor

NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor Jie Xiang Electrical and Computer Engineering and Materials Science Engineering University of California, San Diego

More information

Optimization of Double Gate Vertical Channel Tunneling Field Effect Transistor (DVTFET) with Dielectric Sidewall

Optimization of Double Gate Vertical Channel Tunneling Field Effect Transistor (DVTFET) with Dielectric Sidewall JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.2.192 ISSN(Online) 2233-4866 Optimization of Double Gate Vertical Channel

More information

THRESHOLD VOLTAGE CONTROL SCHEMES

THRESHOLD VOLTAGE CONTROL SCHEMES THRESHOLD VOLTAGE CONTROL SCHEMES IN FINFETS V. Narendar 1, Ramanuj Mishra 2, Sanjeev Rai 3, Nayana R 4 and R. A. Mishra 5 Department of Electronics & Communication Engineering, MNNIT-Allahabad Allahabad-211004,

More information

Enabling Power-Efficient Designs With III-V Heterojunction Tunnel FETs

Enabling Power-Efficient Designs With III-V Heterojunction Tunnel FETs Enabling Power-Efficient Designs With III-V Heterojunction Tunnel FETs Moon S. Kim, Huichu Liu, Karthik Swaminathan, Xueqing Li, Suman Datta, and Vijaykrishnan Narayanan The Pennsylvania State University

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs

Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs Jie Min 1, Peter Asbeck UCSD 1 Present address: Global Foundries, Santa Clara, CA Schematic TFET Structures Based on

More information

Simulation of N-type MOSFETs and Tunneling Field-Effect Transistors

Simulation of N-type MOSFETs and Tunneling Field-Effect Transistors Simulation of N-type MOSFETs and Tunneling Field-Effect Transistors by Zhixin Alice Ye Supervisor: Sorin Voinigescu April 2015 Abstract Simulation of N-type MOSFETs and Tunneling Field-Effect Transistors

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN Performance Evaluation and Comparison of Ultra-thin Bulk (UTB), Partially Depleted and Fully Depleted SOI MOSFET using Silvaco TCAD Tool Seema Verma1, Pooja Srivastava2, Juhi Dave3, Mukta Jain4, Priya

More information

Nanoelectronics and the Future of Microelectronics

Nanoelectronics and the Future of Microelectronics Nanoelectronics and the Future of Microelectronics Mark Lundstrom Electrical and Computer Engineering University, West Lafayette, IN August 22, 2002 1. Introduction 2. Challenges in Silicon Technology

More information

Future MOSFET Devices using high-k (TiO 2 ) dielectric

Future MOSFET Devices using high-k (TiO 2 ) dielectric Future MOSFET Devices using high-k (TiO 2 ) dielectric Prerna Guru Jambheshwar University, G.J.U.S. & T., Hisar, Haryana, India, prernaa.29@gmail.com Abstract: In this paper, an 80nm NMOS with high-k (TiO

More information

Advanced MOSFET Basics. Dr. Lynn Fuller

Advanced MOSFET Basics. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Advanced MOSFET Basics Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035

More information

CHAPTER 2 LITERATURE REVIEW

CHAPTER 2 LITERATURE REVIEW CHAPTER 2 LITERATURE REVIEW 2.1 Introduction of MOSFET The structure of the MOS field-effect transistor (MOSFET) has two regions of doping opposite that of the substrate, one at each edge of the MOS structure

More information

Analog Performance of Scaled Bulk and SOI MOSFETs

Analog Performance of Scaled Bulk and SOI MOSFETs Analog Performance of Scaled and SOI MOSFETs Sushant S. Suryagandh, Mayank Garg, M. Gupta, Jason C.S. Woo Department. of Electrical Engineering University of California, Los Angeles CA 99, USA. woo@icsl.ucla.edu

More information