Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Size: px
Start display at page:

Download "Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures"

Transcription

1 Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Chenming Hu and Je Min Park Univ. of California, Berkeley -1-

2 Outline Introduction Background and Motivation MOSFETs with Vacuum-Spacer Vacuum-Corridor Interconnects -2-

3 Reducing Capacitance is Important for Speed Delay CV I Q I T OX (Å) T ox (C ) 10 classic scaling V DD (V) V dd (V) 1 V TH (V) V t (V) Gate Length, Lgate (um) B. Meyerson, IBM, Semico Conf., January

4 Reducing Capacitance is Good for Swiching Energy and Noise 1000 Energy CV 2 Crosstalk Noise C m Power (W/cm 2 ) C m Gate Length (μm) B. Meyerson, IBM, Semico Conf., January 2004 Metal Lines -4-

5 Outline Introduction MOSFETs with Vacuum-Spacer Structure, benefits, process Self-Aligned Contact (SAC) MOSFET Vacuum-Corridor Interconnects Future Works -5-

6 1996 Air-Gap Structure There is only 6% inverter speed improvement The fringing capacitance is much smaller than other gate capacitances Relatively small portion of air-gap (15nm) Air-gap P M. Togo, VLSI

7 Gate Capacitances Gate-channel capacitance Gate overlap capacitance Junction/Diffusion capacitance Fringing capacitance Gate-to-Contact capacitance Gate Contact Source Drain -7-

8 Vertical Scale Down is Difficult Gate shape Gate Length Ideal Scaling Gate Height Real Scale Down -8-

9 C GC becomes the Dominant Capacitance in Transistor C GOX : Gate Oxide Capacitance C GC : Gate-to-Contact Capacitance C GOX» C GC C GOX < C GC Contact Gate Scale Down -9-

10 I DS -V GS Characteristics are Same A vacuum spacer transistor is compared with an oxide spacer transistor at 20nm gate length Oxide Spacer Contact 1.0E E-03 V DS =1.0V 1.0E-04 Gate Vacuum Spacer IDS(A/um) 1.0E E E E-08 Conventional Oxide Spacer Air Spacer 1.0E V GS (V) -10-

11 Gate Capacitances and switching Charges are Reduced V DS = 1V CGATE(fF/um 2 ) Oxide Spacer Air Spacer Oxide Spacer Vacuum Spacer Q GATE fc/um V GS (V) Energy CV 2 = QV -11-

12 3D Mixed-Mode Device Simulation Extract Propagation Delay Inverter Switching Energy : V DD I DD dt V IN V DD V OUT I DD Miller Capacitances C L -12-

13 Vacuum Spacer CMOS is faster than Oxide Spacer CMOS Oxide Spacer Vacuum Spacer Voltage (V) ps 6.1ps E-11 2E-11 3E-11 4E-11 5E-1 Time (s) -13-

14 Gate Spacer Comparison Air spacer is compared with nitride spacer and oxide spacer Contact Nitride Spacer Oxide Spacer Vacuum Spacer I ON ma/um I OFF na/um Nitride Spacer Inverter Delay, ps 6.9 (1.12) 6.15 (1) 4.7 (0.76) Inverter switching energy, fj 29.5 (1.22) 24.2 (1) 18.1 (0.75) -14-

15 The Benefits of Vacuum Spacer in Future Linear Contact are Greater Future contact may be linear, not circular Nitride Spacer Oxide Spacer Air Spacer Circular Contact Inverter Delay, ps Circular Linear 6.9 (1) 5.96 (1) 6.15 (0.89) 4.64 (0.78) 4.7 (0.68) 3.28 (0.55) Linear Contact Inverter switching energy, fj Circular Linear 29.5 (1) 37.2 (1) 24.2 (0.82) 29.8 (0.80) 18.1 (0.61) 20.1 (0.54) -15-

16 Process Flow ILD1 Mask Oxide Sacrificial Spacer (a) After S/D formation (b) ILD deposition (c) Chemical Mechanical Polishing (d) Sacrificial spacer removal ILD2 Air Spacer Sacrificial spacer Oxide Gate Source/Drain Substrate (e) ILD2 deposition -16- Jemin Park et. al., Air Spacer MOSFET Technology for 20nm Node and Beyond, IEEE ICSICT, Oct. 2008, p53-56

17 Fabrication in Progress Thickness (A) SOI Thinning Before SOI Thinning After SOI Thinning #1 #2 #3 #4 #5 #6 #7 avg Wafer number Oxidation condition (Tystar2): 900, O sccm, oxidation 600min, post anneal 20min T C B L R TL TR BL BR AVG Tafter Cafter Bafter Lafter Rafter Tlafter Trafter Blafter Brafter AVGafter Alignment Mark Active Patterning -17-

18 Another Style of Contact Design, Self-Aligned Contact Conventional Contact Gate Contact Perfect Alignment Self-Aligned Contact Gate Contact Misaligned WSi2 Poly-Si Contact Silicon Nitride -18-

19 SRAM size can be reduced with SAC Intel 45nm SRAM Cell Contact Imaginary Design using SAC Contact Gate Active Intel Tech. Journal, Vol. 12, 2008 Design Rule : F Cell Width : 10F Cell Height : 5F Cell Area : 50F 2 Design Rule : F Cell Width : 10F Cell Height : 3.5F Cell Area : 35F 2-19-

20 Proposed Process Procedure of SAC with Vacuum Spacer (a) Gate stack deposition (b) Gate etch and sidewall oxidation (c) S/D and spacer formation (d) ILD deposition and CMP Air Spacer Contact Nitride Oxide (e) SAC etch and (f) CMP and remove contact plug deposition nitride material (g) ILD2 deposition Jemin Park et. al., Air-Spacer Self-Aligned Contact MOSFET for Future Dense Memories, IEEE SISPAD, Japan, Sep. 2008, p53-56 Gate Source/Drain Substrate -20-

21 Gate Last Process with SAC and Vacuum Spacer (a) After CMP Process (b) Remove sacrificial gate and form gate stack (c) Top sacrificial spacer formation (d) ILD deposition and SAC formation Air Spacer Substrate GOX Source/Drain Gate Sacrificial Spacer Contact Sacrificial Gate ILD (e) Remove sacrificial spacers (f) ILD2 Deposition Jemin Park et. al., Gate Last MOSFET with Air Spacer and Self-Aligned Contacts for Dense Memories, IEEE VLSI-TSA, Taiwan, Apr. 2009, p

22 The Case for Vacuum Spacer SAC SAC MOSFET with vacuum spacer is denser, faster, lower energy than a conventional MOSFET, 20nm. Air Oxide Spacer Nitride SAC Air SAC I ON ma/um Oxide Nitride I OFF na/um Inverter Delay, ps 6.15 (1) (1.93) 5.05 (0.82) Inverter switching energy, fj 24.2 (1) 44.8 (1.85) 18.8 (0.78) Relative Area

23 Vaccum spacer scaling effect Benefits increase with scaling 14 SAC with Nitride Spacer Delay Time (ps) SAC with Air Spacer Gate Length (nm) Improvement (%) Switching Energy (fj) Gate Length (nm) Improvement (%) -23-

24 Outline Introduction MOSFETs with Vacuum-Spacer Vacuum-Corridor Interconnects The Proposed Process of Air-Corridor The Characteristics of Air-Corridor Future Works -24-

25 Previous Air-Gap Structures An air gap is located only between metals -25-

26 Proposed Vacuum-Corridor structures C TOTAL Delay, C M Crosstalk Noise C M C O C O C M Dielectric Beam Etch Stop layer Metal Overlap Capacitance : C O Mutual Capacitance : C M Total Capacitance : C TOTAL -26-

27 Process Flow I Stopper Sacrificial Material IMD Metal (a) Via Etch (b) Line Etch (c) Metal depo & CMP (d) Etch Stopper & IMD (e) Dielectric beam Spacer Formation Jemin Park et. al., VMIC, Fremont CA, Oct. 2008, p (f) Sacrificial material depo & CMP -27-

28 Process Procedure II Stopper Sacrificial Material IMD Metal (g) Metal 2 Process (h) Metal 3 process (i) Removal all Sacrificial Material Jemin Park et. al., VMIC, Fremont CA, Oct. 2008, p

29 ITRS Key Parameters of Each Generation Metal Width 59nm 40nm 28nm 20nm Metal Space 59nm 40nm 28nm 20nm Metal A/R Metal Thick 1062Å 720Å 532Å 400Å Required Bulk Dielectric Constant Stopper Layer Thick 10nm 10nm 5nm 5nm IMD Thick (Metal + Via Height) Beam Dielectric Constant for Air-Corridor 2124Å 1440Å 1064Å 800Å ITRS spec Assumption for simulation -29-

30 Capacitances vs. Vacuum Percentage Metal Line Dielectric Beam 20% of vacuum percentage Capacitance (af/um) C TOTAL,con C Mutual,con Total Capacitance Mutual Capacitance Air percentage (%) Thicker Beam Dielectric 80% of vacuum percentage -30-

31 Insensitive to via height Total Capacitance (af/um) Conventional Structure Air-Corridor Structure Via Height (A) Mutual Capacitance (af/um) Conventional Structure Air-Corridor Structure Via Height (A) -31-

32 Vacuum-Corridor has less RC delay and higher CMP margin Relative Delay vs. Metal Height 5 60 Relative RC delay Conventional Structure Air-Corridor Structure Metal Height (A) Improvement (%) -32-

33 The Effective k of Vacuum-Corridor Interconnects Beam Dielectric Constant No Solution No Solution Air Percentage (%) -33-

34 Papers and Patents PAPERS: 1. An Vacuum-Sheath Interconnect Structure for Dense Memory Je Min Park and Chenming Hu Electronics Letters, accepted and will be published on December, Air-Spacer MOSFET with Self-Aligned Contact for Future Dense Memories Je Min Park and Chenming Hu Electron Device Letters, accepted and will be published on December, Gate Last MOSFET with Air Spacer and Self-Aligned Contacts for Dense Memories Je Min Park and Chenming Hu VLSI-TSA, pp , Taiwan, Apr Air-Spacer MOSFET Technology for 20nm Node and Beyond Je Min Park and Chenming Hu ICSICT, pp53-56, China, Oct Air-Spacer Self-Aligned Contact MOSFET for Future Dense Memories Je Min Park and Chenming Hu SISPAD, pp , Japan, Sep An-Air-Corridor Interconnect Structure Je Min Park and Chenming Hu VMIC, pp , Fremont, CA., Oct PATENTS: (UC Patents Pending) 1. Transistor Speed And Power Improvements Je Min Park and Chenming Hu UC Case "Air Corridor Interconnect Structure" Je Min Park and Chenming Hu UC Case

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications Radhakrishnan Sithanandam and M. Jagadesh Kumar, Senior Member, IEEE Department of Electrical Engineering Indian Institute

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Prof. Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley,

More information

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS Tsu-Jae King, Yang-Kyu Choi, Pushkar Ranade^ and Leland Chang Electrical Engineering and Computer Sciences Dept., ^Materials Science and Engineering

More information

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o. Layout of a Inverter Topic 3 CMOS Fabrication Process V DD Q p Peter Cheung Department of Electrical & Electronic Engineering Imperial College London v i v o Q n URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

Improved Inverter: Current-Source Pull-Up. MOS Inverter with Current-Source Pull-Up. What else could be connected between the drain and V DD?

Improved Inverter: Current-Source Pull-Up. MOS Inverter with Current-Source Pull-Up. What else could be connected between the drain and V DD? Improved Inverter: Current-Source Pull-Up MOS Inverter with Current-Source Pull-Up What else could be connected between the drain and? Replace resistor with current source I SUP roc i D v IN v OUT Find

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann*, K. Johnson#,

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

2.8 - CMOS TECHNOLOGY

2.8 - CMOS TECHNOLOGY CMOS Technology (6/7/00) Page 1 2.8 - CMOS TECHNOLOGY INTRODUCTION Objective The objective of this presentation is: 1.) Illustrate the fabrication sequence for a typical MOS transistor 2.) Show the physical

More information

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP) Science in China Series E: Technological Sciences 2009 SCIENCE IN CHINA PRESS www.scichina.com tech.scichina.com Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors.

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors. On May 4, 2011, Intel Corporation announced what it called the most radical shift in semiconductor technology in 50 years. A new 3 dimensional transistor design will enable the production of integrated

More information

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect Deep Submicron Interconnect R. Dept. of ECE University of British Columbia res@ece.ubc.ca 0.18um vs. 013um Interconnect 0.18µm 5-layer Al Metal Process 0.13µm 8-layer Cu Metal Process 1 Interconnect Scaling

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing Transistor Elements for 30nm Physical Gate Length and Beyond A compiled version

More information

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Saravana Maruthamuthu, Wireless Group Infineon Technologies India Private

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 12. SOI Devices and Circuits

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 12. SOI Devices and Circuits EE E6930 Advanced Digital Integrated Circuits Spring, 2002 Lecture 12. SOI Devices and Circuits References CBF, Chapter 5 On-line course reader on SOI Many slides borrowed from C. T. Chuang s 2001 tutorial

More information

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor DRAM & Flexible RRAM This Week s Subject p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor CMOS Logic Inverter NAND gate NOR gate CMOS Integration & Layout GaAs MESFET (JFET) 1 Flexible

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

problem grade total

problem grade total Fall 2005 6.012 Microelectronic Devices and Circuits Prof. J. A. del Alamo Name: Recitation: November 16, 2005 Quiz #2 problem grade 1 2 3 4 total General guidelines (please read carefully before starting):

More information

Intel s High-k/Metal Gate Announcement. November 4th, 2003

Intel s High-k/Metal Gate Announcement. November 4th, 2003 Intel s High-k/Metal Gate Announcement November 4th, 2003 1 What are we announcing? Intel has made significant progress in future transistor materials Two key parts of this new transistor are: The gate

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation. Variation. Process Corners.

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation. Variation. Process Corners. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 13: October 3, 2012 Layout and Area Today Coping with Variation (from last time) Layout Transistors Gates Design rules Standard

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 01: the big picture Course objective Brief tour of IC physical design

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors ANNOUNCEMENTS Final Exam: When: Wednesday 12/10 12:30-3:30PM Where: 10 Evans (last names beginning A-R) 60 Evans (last names beginning S-Z) Comprehensive coverage of course material Closed book; 3 sheets

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM

Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM [ 2007 International Conference on VLSI Design ] Jan. 9, 2007 Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM Masaaki Iijima, Masayuki Kitamura, Masahiro Numa, *Akira

More information

CS/ECE 5710/6710. Composite Layout

CS/ECE 5710/6710. Composite Layout CS/ECE 5710/6710 Introduction to Layout Inverter Layout Example Layout Design Rules Composite Layout Drawing the mask layers that will be used by the fabrication folks to make the devices Very different

More information

y y (12) Patent Application Publication (10) Pub. No.: US 2015/ A1 (19) United States (43) Pub. Date: Sep. 10, C 410C 422b 4200

y y (12) Patent Application Publication (10) Pub. No.: US 2015/ A1 (19) United States (43) Pub. Date: Sep. 10, C 410C 422b 4200 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2015/0255300 A1 He et al. US 201502553.00A1 (43) Pub. Date: Sep. 10, 2015 (54) (71) (72) (73) (21) (22) DENSELY SPACED FINS FOR

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Challenges and Innovations in Nano CMOS Transistor Scaling

Challenges and Innovations in Nano CMOS Transistor Scaling Challenges and Innovations in Nano CMOS Transistor Scaling Tahir Ghani Intel Fellow Logic Technology Development October, 2009 Nikkei Presentation 1 Outline Traditional Scaling Traditional Scaling Limiters,

More information

The Path Toward Efficient Nano-Mechanical Circuits and Systems

The Path Toward Efficient Nano-Mechanical Circuits and Systems The Path Toward Efficient Nano-Mechanical Circuits and Systems http://www.chi-yun.com/blog/wp-content/uploads/2008/10/ba-road-less.jp Tsu-Jae King Liu 1 Elad Alon 1, Vladimir Stojanovic 2, Dejan Markovic

More information

ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s16/ecse

More information

Power FINFET, a Novel Superjunction Power MOSFET

Power FINFET, a Novel Superjunction Power MOSFET Power FINFET, a Novel Superjunction Power MOSFET Wai Tung Ng Smart Power Integration & Semiconductor Devices Research Group Department of Electrical and Computer Engineering Toronto, Ontario Canada, M5S

More information

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen What is Silicon on Insulator (SOI)? SOI silicon on insulator, refers to placing a thin layer of silicon on top of an insulator such as SiO2. The devices

More information

32nm Technology and Beyond

32nm Technology and Beyond 32nm Technology and Beyond Paolo Gargini Chairman ITRS IEEE Fellow Director of Technology Strategy Intel Fellow ISS Europe 2009 P. Gargini 1 Agenda Equivalent Scaling 45nm Technology summary 32nm Technology

More information

Xilinx XC5VLX50 FPGA UMC 65 nm Process

Xilinx XC5VLX50 FPGA UMC 65 nm Process Xilinx XC5VLX50 FPGA UMC 65 nm Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

VLSI Design. Introduction

VLSI Design. Introduction VLSI Design Introduction Outline Introduction Silicon, pn-junctions and transistors A Brief History Operation of MOS Transistors CMOS circuits Fabrication steps for CMOS circuits Introduction Integrated

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Modeling and CAD Challenges for DFY Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Outline Unphysical casing and statistical models Process gradients Gate protect diodes Shallow trench isolation

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

Sustaining the Si Revolution: From 3D Transistors to 3D Integration

Sustaining the Si Revolution: From 3D Transistors to 3D Integration Sustaining the Si Revolution: From 3D Transistors to 3D Integration Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley, CA USA February 23, 2015

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

Wiring Parasitics. Contact Resistance Measurement and Rules

Wiring Parasitics. Contact Resistance Measurement and Rules Wiring Parasitics Contact Resistance Measurement and Rules Connections between metal layers and nonmetal layers are called contacts. Connections between metal layers are called vias. For non-critical design,

More information

3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below. Rasit Onur Topaloglu, Ph.D.

3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below. Rasit Onur Topaloglu, Ph.D. 3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below Rasit Onur Topaloglu, Ph.D. Outline Introduction and Motivation Impact of Contact Resistance Test Structures for Contact

More information

Sony IMX Megapixel, 1.4 µm Pixel 1/3.2 Optical Format CMOS Image Sensor

Sony IMX Megapixel, 1.4 µm Pixel 1/3.2 Optical Format CMOS Image Sensor Sony IMX046 8.11 Megapixel, 1.4 µm Pixel 1/3.2 Optical Format CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs

More information

Basic Fabrication Steps

Basic Fabrication Steps Basic Fabrication Steps and Layout Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author Outline Fabrication steps Transistor structures Transistor

More information

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate Bich-Yen Nguyen, Anne Vandooren, Aaron Thean, Sriram Kalpat, Melissa Zavala, Jeff Finder, Ted White, Skip Egley, Jamie Schaeffer,

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

Micron MT66R7072A10AB5ZZW 1 Gbit Phase Change Memory 45 nm BiCMOS PCM Process

Micron MT66R7072A10AB5ZZW 1 Gbit Phase Change Memory 45 nm BiCMOS PCM Process Micron MT66R7072A10AB5ZZW 45 nm BiCMOS PCM Process Process Review 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Process Review Some of the information in

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

Sub-50 nm P-Channel FinFET

Sub-50 nm P-Channel FinFET 880 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 5, MAY 2001 Sub-50 nm P-Channel FinFET Xuejue Huang, Student Member, IEEE, Wen-Chin Lee, Charles Kuo, Digh Hisamoto, Member, IEEE, Leland Chang,

More information

Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis

Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis February 7, 2006 Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

First Results of 0.15μm CMOS SOI Pixel Detector

First Results of 0.15μm CMOS SOI Pixel Detector First Results of 0.15μm CMOS SOI Pixel Detector International Symposium on Detector Development SLAC, CA, April 5, 2006 KEK Detector Technology Project : [SOIPIX Group] Yasuo Arai (KEK) Y. Arai Y. Ikegami

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Nanosecond Thermal Processing for Self-Aligned Silicon-on-Insulator Technology

Nanosecond Thermal Processing for Self-Aligned Silicon-on-Insulator Technology TECHNICAL DOCUMENT 3195 April 2005 Nanosecond Thermal Processing for Self-Aligned Silicon-on-Insulator Technology A. D. Ramirez B. W. Offord J. D. Popp S. D. Russell J. F. Rowland Approved for public release;

More information

New Process Technologies Will silicon CMOS carry us to the end of the Roadmap?

New Process Technologies Will silicon CMOS carry us to the end of the Roadmap? HPEC Workshop 2006 New Process Technologies Will silicon CMOS carry us to the end of the Roadmap? Craig L. Keast, Chenson Chen, Mike Fritze, Jakub Kedzierski, Dave Shaver HPEC 2006-1 Outline A brief history

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

Analog Performance of Scaled Bulk and SOI MOSFETs

Analog Performance of Scaled Bulk and SOI MOSFETs Analog Performance of Scaled and SOI MOSFETs Sushant S. Suryagandh, Mayank Garg, M. Gupta, Jason C.S. Woo Department. of Electrical Engineering University of California, Los Angeles CA 99, USA. woo@icsl.ucla.edu

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information