Design of Tunnel FET and its Performance characteristics with various materials

Size: px
Start display at page:

Download "Design of Tunnel FET and its Performance characteristics with various materials"

Transcription

1 Design of Tunnel FET and its Performance characteristics with various materials 1 G.SANKARAIAH, 2 CH.SATHYANARAYANA 1 PG Student Sreenidhi Institute of Science and Technology, 2 Assistant Professor 1, 2 Electronics and Communications, Sreenidhi Institute of Science and Technology, Hyderabad, India. Abstract---In today s technological environment, there is a huge demand for devices with low power and low cost storage space. Memories with low power are driving the entire VLSI industry as most of the devices work on remote power supply. Demand of low power becomes the key of VLSI designs rather than high speed, particularly in embedded SRAM s and caches. The tunneling field effect transistor uses the quantum mechanical generation of carriers by band-to-band tunneling. Tunneling FET meets the challenges like low Subthreshold Swing (SS), low supply voltage and lower leakage currents. In particular, in this paper, we designed Tunnel FET with different materials such as Si and SiGe in different regions so as to produce low subthreshold swing, low leakage currents, low supply voltages and comparable I OFF and I ON Idealized template devices were used to determine the device unidirectionality, which is inherent to TFETs. TFET with different material is used to investigate the V DD range, in which TFETS may be advantageous when compared to conventional MOSFET. Ambipolarity of TFET was analyzed. Realistic device templates extracted from experimental data of fabricated state-of-the-art Silicon n-tfet was used to assess the performance gap between the simulation of idealized TFETs and the best experimental implementations with different materials Index Terms Tunneling-FET (TFET), sub threshold swing (SS), unidirectionality, leakage current Band to Band tunneling (BtBt). I. INTRODUCTION Now a day s power consumption and size of the device are the main constraints. Tunnel-FET can replace or complement CMOSFET in Ultra Low Power (ULP) applications [1]. The TFET is a new type of transistor, its structure is similar to MOSFET but fundamental switching mechanism is different. TFET is made for low energy electrons. Tunnel FTEs works on switching mechanism of modulating quantum tunneling through a barrier. Whereas MOSFET is modulating thermionic emission over a barrier. CMOS had a subthreshold swing is above or equal to 60mv/decade but TFET had a subthreshold swing below 60mv/decade at room temperature. In this thesis subthreshold swing of TFET demonstrated experimentally 30mv/decade or 25mv/decade. By using different materials various results were observed. By observing result obtained from different materials they can be used in SRAM as well as DRAM. TFET had more impact on SRAM as SRAM can occupy 70% of processor area [2]. In advanced digital circuit s important step should be taken to estimate the impact of using TFETs on the performance of SRAM. Main intrinsic limitations of TFETs are asymmetry of Source(S) and Drain (D) regions that makes I D inherently unidirectional this case is critical in SRAM. Band-to-Band tunneling (BtBt) is main concept in TFETs and this can increase drain current comparable to MOSFET. Low Subthreshold Swing (SS). Reduced leakage currents. Low applied voltage (V DD ). II. TUNNELING FIELD EFFECT TRANSISTOR (TFET) TFET device designed with single gate or double gate. In this particular concern double gate TFET was designed. TFET device consists of thin intrinsic silicon body and its thickness is denoted by T Si, source is highly doped p-type material and drain is moderately doped n-type material [3]- [5]. HFO 2 and Sio 2 are vertically extended to 5nm [included both]. The current flows from source to drain as opposite to electrons flow in the channel. The front gate and top gates of TFET are formed above and below the channel. This is shown in Figure 1. The double gate present in TFET provides electrostatic control over the channel in which the drain field line cannot effect or disturb the channel and it significantly reduces the short channel effects. This type of design reduces the Leakage current as the second gate acts like the substrate [6]. The Double Gate Tunneling FET s are of smaller dimension as IJRTI International Journal for Research Trends and Innovation ( 27

2 compared to the bulk type of transistors. To enhance the mobility ion transfer characteristic body is left un-doped. This type of design overcomes the drawbacks present in the conventional model Figure 1.Tunneling FET with source SiGe, drain and channel of Si material The above figure 1 shows hetero-structure TFET in which source is SiGe material and channel and drain are of Si material at the top and bottom of gate was extended to 5nm of Sio 2 and Hfo 2 which provides better electrical properties that is main objective of this paper. Hfo 2 is high K-dielectric materials The following figure 2 is Silicon P-I-N structure TFET is a gated P-I-N diode where the source and drain are highly doped with the gate controlling the band-to-band tunneling between the I-channel region and the P+ or N+ region by way of energy band bending in the I-channel region. The gate induces an N+ channel to form at the surface of the I-channel region in this case and causes a P+/N+ junction to form at the source to channel interface. It has all three regions of Si, and the design procedure is same as hetero-structure TFET. Doping profiles are also same. Figure 2. Siicon P-I-N structure TFET III DEVICE DESIGN AND SIMULATION This paper presents design of modified and effective Tunneling-FET in 30nm technology with different materials. That means by changing the drain, source and channel materials. In particular, in this paper we design both hetero structure TFET as well as simple Silicon P-I-N structure TFET. Due to the asymmetric nature of the P-I-N structure TFET, a self-aligned process similar to the process of a conventional MOSFET is not possible and the source and drain have to be formed separately. The P-I-N TFET process flow is developed using SOI wafers based on Sematech s CMOS process flow using a high-k metal gate process. High-k materials with relative dielectric greater than 4 are used to reduce the leakage current and to increase the performance of the device. High-k materials used with Al as Gate are Al 2 O 3 and HfO 2, ZrO 2, TiO 2. These materials improve the performance after scaling. When measuring these P-I-N structure TFETs, the diode behavior between the source and drain should always be measured to confirm the alignment of the source/drain implant half mask. All terminal current should be examined when measuring the I D -V GS characteristics to measure real tunneling current. Processing of germanium has challenges such as achieving a good quality gate dielectric interface, dopant activation and ohmic contact to name a few. But germanium has been extensively researched as a replacement MOSFET channel material due to its higher mobility and many solutions to these processing challenges. Due to these benefits, utilizing germanium is explored to improve the performance of TFETs. Silicon/germanium hetero-structure TFETs are designed. The Table 1 shows the properties of various materials used in the design of Tunneling-FET. As seen the relative dielectric values are generally more than 4 and up to a value of 80 for TiO 2. Also the Table details the comparison of several other electrical properties like thermal electrical conductivity, conductivity, specific heat and density. As shown in Figure 1 source is made up of SiGe, channel and drain are made up of Si material. Figure2 shows that the Source and Drain made up of Silicon material and Gate is made up of Al material. The use of Al as Gate material it increases the current from Source to Drain. Since the gates are independent, it provides a better control in the variation of threshold voltage can be obtained. Since the tunneling occurs mainly in the source to channel interface in the P-I-N structure TFET, reducing the band gap at this region would be most important for an increase in drive current. Thus we explore ways of using silicon and germanium heterostructure at the source to channel interface and keep more silicon in the structure to utilize the developed baseline processes for IJRTI International Journal for Research Trends and Innovation ( 28

3 silicon. Source region in a silicon P-I-N is replaced with germanium which gives the benefit of the lower germanium band gap in the tunneling process but also keeps the benefit of good gate dielectric interface of silicon SiO 2 HfO 2 Relative dielectric Thermal Conductivity (W/mk) Electrical Conductivity (S/m) Specific Heat (J/kg K) Density (kg/m 3 ) 1.38e E E E E E E E+03 Table 1: SiO 2 and HfO 2 dielectric materials comparison As in the case of silicon P-I-N TFETs, some overlap between the gate and source is helpful in increasing the tunneling current. So an isotropic etch process needs to be developed that can remove the silicon in the source as well as under the gate. Etch recipes were developed to achieve a rounded shaped trench with gate overlap by using a two-step etch process. Since more vertical etch depth is needed compared to the lateral etch distance, a vertical anisotropic etch is first done followed by an isotropic etch. The Tunneling FET using high-k materials can be used as high performance semiconductor devices. High-k dielectric materials remain at a low level even after extended operation of a transistor. The use of High-k material provides good electrical stability, and the amount of charge trapped in the high-k. The material used should be scalable as it provides an acceptable level of electron and hole mobility even at reduced thickness. The thickness of the Gate Oxide material has to be increased to reduce leakage current. An alternative method to increase Gate Capacitance is replacing the relative dielectric constant of the material Sio 2 with a relatively high-k dielectric material. Leakage current can be used to reduce by the use of thicker dielectric Gate layer through the structure. The sub-threshold swing (SS) of a device is defined as the change in gate voltage which must be applied in order to create a one decade increase in the output current. It can also define as the amount of gate voltage that is needed to bring down the subthreshold current by one decade. Both situations are considered one in strong inversion and other is considered in weak inversion. IV RESULTS AND DISCUSSION The electrical properties or characteristics of the Tunneling FETs were simulated for both Silicon P-I-N TFET structure as well as hetero-structure TFET by varying the device parameters. The device parameters used are: channel length L = 30nm, Silicon thickness t Si = 10nm, equivalent Gate Oxide thickness t ox = 1nm, L sd = 20nm and every time as per requirement there is change of dimensions take place in this particular concern. Channel region is intrinsic, doping concentration of the Source region N A =10 22 cm -3 and Drain region N D = cm -3. The lateral length of the Source and Drain contacts were considered to be small (all most zero) for Silicon P-I-N TFET structure and hetero-structure TFET to avoid the influence of the series resistance on the I-V characteristics of TFET. The impact of high-k materials on the performance of Tunnel FET on both Silicon P-I-N TFET structure and hetero-structure TFET types is studied. Hetero-structure TFET has more control over the device characteristics than the Silicon P-I-N TFET structure. The hetero junction was formed between source and channel i.e. at the edge of source region near the channel region. The simulation results proved that, the use of high-k materials in double gate as well as single gate Tunnel FETs has advantages like significantly reduced the leakage current and have given better controllability also gives the low subthreshold swing (SS). IJRTI International Journal for Research Trends and Innovation ( 29

4 Figure 3: I D -V G Tunnel FET (with npoly silicon-gate, V D =0.5V, l=45nm, t=3nm, N D =1*10 20 cm 3, N A =1*10 22 cm 3 L sd =30nm, VGS=0.5V The above figure 3 shows the I D -V G curve for high-k dielectric material and different materials were used in different regions of the Tunnel FTE. From the above graph we can infer that for low supply voltage the current is increased so that it can provide low subthreshold swing of below 60mv/decade. The increase in the gate voltage from 0v there is an increase in drain current. Figure 4: I D -V D of Tunneling FET (with npolysi-gate, V D =0.5V, l=30nm, t=1nm, N D =1*10 20 cm -3, NA=1*10 22 cm -3, L SD =20nm, t ch =10nm, at V fg =0.3V) In the figure 4 shows that I D -V D as the drain voltage increases, higher electron density is formed in channel due to increase in the electric field along the position of the channel. By keeping front gate constant we can vary the drain voltage then the drain current increases drastically. Figure 5: ON-current (I ON ) of Tunnel FET (with Al-Gate contact, V GS =1.5V, l=40nm, t=1nm, N D =1*10 20 cm - 3, L SD =30nm, t ch =10nm, at V D =0.5V) Figure 5 shows ON-current of Tunnel FET. As gate voltage (V GS ) changes from 0V to 0.5V then the ON-current (I ON ) decrease from maximum value of 2.6e -15 to minimum value 1.6e -15 by keeping drain voltage (V D ) at 0.5V. Figure 6: OFF-current (I OFF ) of Tunnel FET (with Npoly-Gate, V GS =1.5V,l=40nm, t=1nm, L SD =30nm, t ch =10nm, at V D =0.5V) Figure 6 shows the variation in the OFF-current (I OFF ). As the gate voltage changes from 0.7V to 1.5V, then the OFF-current changes from maximum value of 1.72e -15 to 1.94e -15. As shown in the figure 5&figure 6 the variation in the current ratio (I ON /I OFF ) is determined with changes in gate voltage (V GS ). IJRTI International Journal for Research Trends and Innovation ( 30

5 Figure 7: I D -V GS of Tunneling FET (Npoly Si-Gate, V GS =3V, l=100nm, t ox =2nm, L SD =70nm, t ch =40nm at V D =0.5V Figure 7 shows the characteristics of TFET with singe gate. As gate voltage increases from 0V to 3V the drain current increase, within small change in the gate voltage there is huge change in drain current. At gate voltage of V GS the Subthreshold Swing (SS) is approximately 33mV/decade was achieved. V. CONCLUSION The simplest silicon P-I-N TFET structure and hetero-structure TFETs were designed with various materials and different dielectric materials like SiO2 and high-k material HfO 2 (k~25) are used. The device performance was analyzed for different structures of TFET with various materials and the characteristics were analyzed. The Subthreshold Swing (SS) for each structure was determined, and it found to be below 60mV/decade with low the supply voltage (V DD ) which states that the Tunnel FET is very suitable for Ultra-Low Power (ULP) applications. The simulation results shows that the use of Tunnel FET can reduce supply voltage, subthreshold swing, leakage currents and improves current ratio (I ON /I OFF ) and also gives the better performance. References: 1 M. Alioto and D. Esseni, Tunnel FETs for ultra-low voltage digital VLSI circuits: Part II Evaluation at circuit level and design perspectives, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 22, no. 12, pp , Dec K. Zhang, Ed., Integrated circuits and systems series, in Embedded Memories for Nano-Scale VLSIs. New York, NY, USA: Springer, G. Dewey et al., Fabrication, characterization, and physics of III V hetero junction tunneling field effect transistors (H-TFET) for steep subthreshold swing, in Proc. IEEE Electron Device Meeting (IEDM), Washington, DC, USA, 2011, pp K. Tomioka, M. Yoshimura, and T. Fukui, Steep-slope tunnel field effect transistors using III V nanowire/si hetero junction, in Proc. VLSI Technol. (VLSIT) Symp., Honolulu, HI, USA, 2012, pp B. Ganjipour, J. Wallentin, M. T. Borgström, L. Samuelson, and C. Thelander, Tunnel field-effect transistors based on InP-GaAs hetero structure nano wires, ACS Nano, vol. 6, no. 4, pp , Kathy Boucart, Adrian Mihai Ionescu, Double Gate Tunnel FET with ultrathin silicon body and high-k gate dielectric, IEEE Transactions on Electron Devices, Volume: 54, Issue: 7, July J. Singh et al., A novel Si-tunnel FET based SRAM design for ultra low-power 0.3V VDD applications, in Proc. 15 th Asia South Pac. Design Automation. Conf. (ASP-DAC), Taipei, Taiwan, 2010, pp Y. Lee et al., Low-power circuit analysis and design based on hetero-junction tunneling transistors (HETTs), IEEE Trans. Very Large Scale Integration (VLSI) System, vol. 21, no. 9, pp , Sep Takatsu, M., Adachihara, T., Mori, T., Awano, Y., & Yokoyama, N. (1999). Design and demonstration of new operation mode multi emitter resonant tunneling hot electron transistors for one-transistor SRAM-cell and peripheral logic circuitry Technical Digest International Electron Devices Meeting. (pp ). IEEE. 10 V Saripalli,S. Datta, V. Narayanan, and J. P. Kulkarni, Variation tolerant ultra low-power hetero junction tunnel FET SRAM design, in Proc. IEEE/ACM Int. Symp. Nanoscale Archit. (NANOARCH),San Diego, CA, USA, 2011, pp Krishna K. BHUWALKA, Mathias BORN, Markus SCHINDLER, Matthias SCHMIDT, Torsten SULIMA and Ignaz EISELE P-Channel Tunnel Field- Eff ect Transistors down to Sub-50 nm Channel Lengths Japanese Journal of Applied Physics Vol. 45, No. 4B, 2006, pp Y.-N. Chen, M.-L. Fan, V. P.-H. Hu, P. Su, and C.-T. Chuang, Design and analysis of robust tunneling FET SRAM, IEEE Trans. Electron Devices, vol. 60, no. 3, pp , Mar Y.-N. Chen, M.-L. Fan, V. P.-H. Hu, P. Su, and C.-T. Chuang, Evaluation of stability, performance of ultra-low voltage MOSFET, TFET, and mixed TFET-MOSFET SRAM cell with write-assist circuits, IEEE J. Emerg. Sel. Topic Circuits Syst., vol. 4, no. 4, pp , Dec S. Strangio, P. Palestri, D. Esseni, L. Selmi, and F. Crupi, Performance analysis of different SRAM cell topologies employing tunnel-fets, in Proc. 72nd Annu. Device Res. Conf. (DRC), Santa Barbara, CA, USA, 2014, pp BRINDsA.A, K. CHAKRAPANI Characterization of Tunnel FET for Ultra Low Power Analog Applications Journal of Theoretical and Applied Information Technology 31 August Vol. 42 No S.M. Turkane, and Dr.A.K.Kureshi Analysis of Double Gate Tunneling FET characteristics for Low Power Designs Suppression 2nd International conference on Innovative Engineering Technologies (ICIET'2015) August 7-8, S. M. Turkane, V. B. Shinde, A. A. Shingade, S. K. Taralkar s of Single Gate Tunnel FET and MOSFET International Research Journal of Engineering and Technology (IRJET), Volume: 03 Issue:04 Apr Kathy Boucart, Adrian Mihai Ionescu Length scaling of the Double Gate Tunnel FET with a high-k gate dielectric Available online at Solid-State Electronics 51 (2007) Qin Zhang, Wei Zhao and Alan Seabaugh Low-Subthreshold-Swing Tunnel Transistors IEEE ELECTRON DEVICE LETTERS, VOL. 27, NO. 4, APRIL Adrian M. Ionescu, Heike Riel Tunnel field-effect transistors as energy-efficient electronic switches 2011 Macmillan Publishers Limited, 330 NATURE VOL 479, 17 NOVEMBER M. Alioto and D. Esseni, Tunnel FETs for ultra-low voltage digital VLSI circuits: Part II Evaluation at circuit level and design perspectives, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 22, no. 12, pp , Dec S Ghosh and C.K. Sarkar A subthreshold surface potential model to study the effect of dual material gate in gate all around n-tfets Communications, Devices and Intelligent Systems (CODIS), 2012 IEEE International Conference on, 01 February Satish M Turkane and A. K. Kureshi Review of Tunnel Field Effect Transistor (TFET) International Journal of Applied Engineering Research ISSN Volume 11, Number 7 (2016) pp IJRTI International Journal for Research Trends and Innovation ( 31

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 5 November 2015 ISSN (online): 2349-784X Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

More information

Performance Evaluation of MISISFET- TCAD Simulation

Performance Evaluation of MISISFET- TCAD Simulation Performance Evaluation of MISISFET- TCAD Simulation Tarun Chaudhary Gargi Khanna Rajeevan Chandel ABSTRACT A novel device n-misisfet with a dielectric stack instead of the single insulator of n-mosfet

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Tunneling Field Effect Transistors for Low Power ULSI

Tunneling Field Effect Transistors for Low Power ULSI Tunneling Field Effect Transistors for Low Power ULSI Byung-Gook Park Inter-university Semiconductor Research Center and School of Electrical and Computer Engineering Seoul National University Outline

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Semiconductor TCAD Tools

Semiconductor TCAD Tools Device Design Consideration for Nanoscale MOSFET Using Semiconductor TCAD Tools Teoh Chin Hong and Razali Ismail Department of Microelectronics and Computer Engineering, Universiti Teknologi Malaysia,

More information

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) 3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) Pei W. Ding, Kristel Fobelets Department of Electrical Engineering, Imperial College London, U.K. J. E. Velazquez-Perez

More information

Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch

Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch Institute of Semiconductor Electronics RWTH Aachen University Sommerfeldstraße 24 52074 Aachen Outline MOSFETs Operational

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation Australian Journal of Basic and Applied Sciences, 2(3): 406-411, 2008 ISSN 1991-8178 Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation 1 2 3 R. Muanghlua, N. Vittayakorn and A.

More information

Optimization of Double Gate Vertical Channel Tunneling Field Effect Transistor (DVTFET) with Dielectric Sidewall

Optimization of Double Gate Vertical Channel Tunneling Field Effect Transistor (DVTFET) with Dielectric Sidewall JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.2.192 ISSN(Online) 2233-4866 Optimization of Double Gate Vertical Channel

More information

Experimentally reported sub-60mv/dec

Experimentally reported sub-60mv/dec Experimentally reported sub-60mv/dec swing in Tunnel FETs? 1 We considered InAs conventional, lateral transistor architectures: GAA nanowire, Fin FETs FETs (Tri gate) UTB,DG SOI Analysis is not directly

More information

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) K. Moselund 1, D. Cutaia 1. M. Borg 1, H. Schmid 1, S. Sant 2, A. Schenk 2 and H. Riel 1 1 IBM Research

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS ABSTRACT J.Shailaja 1, Y.Priya 2 1 ECE Department, Sphoorthy Engineering College (India) 2 ECE,Sphoorthy Engineering College, (India) The

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

High performance Hetero Gate Schottky Barrier MOSFET

High performance Hetero Gate Schottky Barrier MOSFET High performance Hetero Gate Schottky Barrier MOSFET Faisal Bashir *1, Nusrat Parveen 2, M. Tariq Banday 3 1,3 Department of Electronics and Instrumentation, Technology University of Kashmir, Srinagar,

More information

Design of Gate-All-Around Tunnel FET for RF Performance

Design of Gate-All-Around Tunnel FET for RF Performance Drain Current (µa/µm) International Journal of Computer Applications (97 8887) International Conference on Innovations In Intelligent Instrumentation, Optimization And Signal Processing ICIIIOSP-213 Design

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

Review on Tunnel Field Effect Transistors (TFET)

Review on Tunnel Field Effect Transistors (TFET) Review on Tunnel Field Effect Transistors (TFET) Prabhat Tamak 1, Rajesh Mehra 2 1ME Scholar, 2 Associate Professor 1,2Department of ECE, NITTTR Chandigarh ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

Design of Optimized Digital Logic Circuits Using FinFET

Design of Optimized Digital Logic Circuits Using FinFET Design of Optimized Digital Logic Circuits Using FinFET M. MUTHUSELVI muthuselvi.m93@gmail.com J. MENICK JERLINE jerlin30@gmail.com, R. MARIAAMUTHA maria.amutha@gmail.com I. BLESSING MESHACH DASON blessingmeshach@gmail.com.

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

Design of 45 nm Fully Depleted Double Gate SOI MOSFET

Design of 45 nm Fully Depleted Double Gate SOI MOSFET Design of 45 nm Fully Depleted Double Gate SOI MOSFET 1. Mini Bhartia, 2. Shrutika. Satyanarayana, 3. Arun Kumar Chatterjee 1,2,3. Thapar University, Patiala Abstract Advanced MOSFETS such as Fully Depleted

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors Contemporary Engineering Sciences, Vol. 6, 2013, no. 6, 273-284 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3632 Study of Pattern Area of Logic Circuit with Tunneling Field-Effect

More information

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 53-60 International Research Publication House http://www.irphouse.com Design and Analysis of Double Gate

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

2014, IJARCSSE All Rights Reserved Page 1352

2014, IJARCSSE All Rights Reserved Page 1352 Volume 4, Issue 3, March 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Double Gate N-MOSFET

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY Abhishek Sharma 1,Shipra Mishra 2 1 M.Tech. Embedded system & VLSI Design NITM,Gwalior M.P. India

More information

Education on CMOS RF Circuit Reliability

Education on CMOS RF Circuit Reliability Education on CMOS RF Circuit Reliability Jiann S. Yuan 1 Abstract This paper presents a design methodology to study RF circuit performance degradations due to hot carrier and soft breakdown. The experimental

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Metal-Semiconductor and Semiconductor Heterojunctions The Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) is one of two major types of transistors. The MOSFET is used in digital circuit, because

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Vertical Nanowire Gate-All-Around p-type Tunneling Field-Effect Transistor With Si 0.8 Ge 0.2 /Si Heterojunction

Vertical Nanowire Gate-All-Around p-type Tunneling Field-Effect Transistor With Si 0.8 Ge 0.2 /Si Heterojunction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Vertical Nanowire Gate-All-Around p-type Tunneling Field-Effect Transistor

More information

SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET)

SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET) SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET) Zul Atfyi Fauzan M. N., Ismail Saad and Razali Ismail Faculty of Electrical Engineering, Universiti

More information

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications Radhakrishnan Sithanandam and M. Jagadesh Kumar, Senior Member, IEEE Department of Electrical Engineering Indian Institute

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Drive performance of an asymmetric MOSFET structure: the peak device

Drive performance of an asymmetric MOSFET structure: the peak device MEJ 499 Microelectronics Journal Microelectronics Journal 30 (1999) 229 233 Drive performance of an asymmetric MOSFET structure: the peak device M. Stockinger a, *, A. Wild b, S. Selberherr c a Institute

More information

Future MOSFET Devices using high-k (TiO 2 ) dielectric

Future MOSFET Devices using high-k (TiO 2 ) dielectric Future MOSFET Devices using high-k (TiO 2 ) dielectric Prerna Guru Jambheshwar University, G.J.U.S. & T., Hisar, Haryana, India, prernaa.29@gmail.com Abstract: In this paper, an 80nm NMOS with high-k (TiO

More information

Performance advancement of High-K dielectric MOSFET

Performance advancement of High-K dielectric MOSFET Performance advancement of High-K dielectric MOSFET Neha Thapa 1 Lalit Maurya 2 Er. Rajesh Mehra 3 M.E. Student M.E. Student Associate Prof. ECE NITTTR, Chandigarh NITTTR, Chandigarh NITTTR, Chandigarh

More information

Investigation of Feasibility of Tunneling Field Effect Transistor (TFET) as Highly Sensitive and Multi-sensing Biosensors

Investigation of Feasibility of Tunneling Field Effect Transistor (TFET) as Highly Sensitive and Multi-sensing Biosensors JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.1, FEBRUARY, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.1.141 ISSN(Online) 2233-4866 Investigation of Feasibility of Tunneling

More information

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen What is Silicon on Insulator (SOI)? SOI silicon on insulator, refers to placing a thin layer of silicon on top of an insulator such as SiO2. The devices

More information

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches University of Pennsylvania From the SelectedWorks of Nipun Sinha 29 Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches Nipun Sinha, University of Pennsylvania Timothy S.

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Sub-Threshold Region Behavior of Long Channel MOSFET

Sub-Threshold Region Behavior of Long Channel MOSFET Sub-threshold Region - So far, we have discussed the MOSFET behavior in linear region and saturation region - Sub-threshold region is refer to region where Vt is less than Vt - Sub-threshold region reflects

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

Performance Analysis of Vertical Slit Field Effect Transistor

Performance Analysis of Vertical Slit Field Effect Transistor Performance Analysis of Vertical Slit Field Effect Transistor Tarun Chaudhary 1 Gargi Khanna 2 1,2 Electronics and Communication Engineering Department National Institute of Technology, Hamirpur, (HP),

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

Nanoscale III-V CMOS

Nanoscale III-V CMOS Nanoscale III-V CMOS J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology SEMI Advanced Semiconductor Manufacturing Conference Saratoga Springs, NY; May 16-19, 2016

More information

EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS

EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS B. Lakshmi 1 and R. Srinivasan 2 1 School of Electronics Engineering, VIT University, Chennai,

More information

Journal of Electron Devices, Vol. 20, 2014, pp

Journal of Electron Devices, Vol. 20, 2014, pp Journal of Electron Devices, Vol. 20, 2014, pp. 1786-1791 JED [ISSN: 1682-3427 ] ANALYSIS OF GIDL AND IMPACT IONIZATION WRITING METHODS IN 100nm SOI Z-DRAM Bhuwan Chandra Joshi, S. Intekhab Amin and R.

More information

Power MOSFET Zheng Yang (ERF 3017,

Power MOSFET Zheng Yang (ERF 3017, ECE442 Power Semiconductor Devices and Integrated Circuits Power MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Evolution of low-voltage (

More information

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5 Eigen # Gate Gate Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET Lecture 5 Thin-Body MOSFET Carrier Transport quantum confinement effects low-field mobility: Orientation and Si Thickness

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN Performance Evaluation and Comparison of Ultra-thin Bulk (UTB), Partially Depleted and Fully Depleted SOI MOSFET using Silvaco TCAD Tool Seema Verma1, Pooja Srivastava2, Juhi Dave3, Mukta Jain4, Priya

More information

Effect of High-k Gate on the functioning of MOSFET at nano meter sizes

Effect of High-k Gate on the functioning of MOSFET at nano meter sizes IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Vol. 08, Issue 11 (November. 2018), V (III) PP 49-53 www.iosrjen.org Effect of High-k Gate on the functioning of MOSFET at

More information

Design and Comparative Analysis of Single Gate Tunnel FET and MOSFET

Design and Comparative Analysis of Single Gate Tunnel FET and MOSFET Design and Comparative Analysis of Single Gate Tunnel FET and MOSFET S. M. Turkane 1, V. B. Shinde 2, A. A. Shingade 2, S. K. Taralkar 2 1Professor, Department of Electronics and Telecommunication, Pravara

More information

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER International Journal Of Advance Research In Science And Engineering http:// LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER Raju Hebbale 1, Pallavi Hiremath 2 1,2 Department

More information

An Analytical model of the Bulk-DTMOS transistor

An Analytical model of the Bulk-DTMOS transistor Journal of Electron Devices, Vol. 8, 2010, pp. 329-338 JED [ISSN: 1682-3427 ] Journal of Electron Devices www.jeldev.org An Analytical model of the Bulk-DTMOS transistor Vandana Niranjan Indira Gandhi

More information

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Oleg Semenov, Andrzej Pradzynski * and Manoj Sachdev Dept. of Electrical and Computer Engineering,

More information

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area. Why Scaling? Higher density : Integration of more transistors onto a smaller chip : reducing the occupying area and production cost Higher Performance : Higher current drive : smaller metal to metal capacitance

More information

FinFETs have emerged as the solution to short channel

FinFETs have emerged as the solution to short channel IEEE TRANSACTIONS ON ELECTRON DEVICES 1 Fin Shape Impact on FinFET Leakage With Application to Multithreshold and Ultralow-Leakage FinFET Design Brad D. Gaynor and Soha Hassoun, Senior Member, IEEE Abstract

More information

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; Chapter 3 Field-Effect Transistors (FETs) 3.1 Introduction Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; The concept has been known

More information

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Chapter 2 : Semiconductor Materials & Devices (II) Feb Chapter 2 : Semiconductor Materials & Devices (II) 1 Reference 1. SemiconductorManufacturing Technology: Michael Quirk and Julian Serda (2001) 3. Microelectronic Circuits (5/e): Sedra & Smith (2004) 4.

More information

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Journal of Electron Devices, Vol. 18, 2013, pp. 1537-1542 JED [ISSN: 1682-3427 ] DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Suman Lata Tripathi and R. A.

More information

Investigation of Hot Carrier Stress and Constant Voltage Stress in High-κ Si-Based TFETs

Investigation of Hot Carrier Stress and Constant Voltage Stress in High-κ Si-Based TFETs 236 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 15, NO. 2, JUNE 2015 Investigation of Hot Carrier Stress and Constant Voltage Stress in High-κ Si-Based TFETs Lili Ding, Elena Gnani, Simone

More information

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline:

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline: ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline: Metal-Semiconductor Junctions MOSFET Basic Operation MOS Capacitor Things you should know when you leave Key Questions What is the

More information

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET Ch. 13 MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor : I D D-mode E-mode V g The gate oxide is made of dielectric SiO 2 with e = 3.9 Depletion-mode operation ( 공핍형 ): Using an input gate voltage

More information

Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics

Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics Sweta Chander 1, Pragati Singh 2, S Baishya 3 1,2,3 Department of Electronics & Communication Engineering,

More information

Solid State Devices- Part- II. Module- IV

Solid State Devices- Part- II. Module- IV Solid State Devices- Part- II Module- IV MOS Capacitor Two terminal MOS device MOS = Metal- Oxide- Semiconductor MOS capacitor - the heart of the MOSFET The MOS capacitor is used to induce charge at the

More information

Analytical Model for Surface Potential and Inversion Charge of Dual Material Double Gate Son MOSFET

Analytical Model for Surface Potential and Inversion Charge of Dual Material Double Gate Son MOSFET International Journal of Engineering and Technical Research (IJETR) Analytical Model for Surface Potential and Inversion Charge of Dual Material Double Gate Son MOSFET Gaurabh Yadav, Mr. Vaibhav Purwar

More information

InGaAs MOSFETs for CMOS:

InGaAs MOSFETs for CMOS: InGaAs MOSFETs for CMOS: Recent Advances in Process Technology J. A. del Alamo, D. Antoniadis, A. Guo, D.-H. Kim 1, T.-W. Kim 2, J. Lin, W. Lu, A. Vardi and X. Zhao Microsystems Technology Laboratories,

More information

Department of Electrical Engineering IIT Madras

Department of Electrical Engineering IIT Madras Department of Electrical Engineering IIT Madras Sample Questions on Semiconductor Devices EE3 applicants who are interested to pursue their research in microelectronics devices area (fabrication and/or

More information

Copyright notice. This paper is a Postprint version of the paper

Copyright notice. This paper is a Postprint version of the paper Copyright notice This paper is a Postprint version of the paper Cavalheiro, D.; Moll, F.; Valtchev, S., Novel charge pump converter with Tunnel FET devices for ultra-low power energy harvesting sources,

More information

Performance Optimization of Dynamic and Domino logic Carry Look Ahead Adder using CNTFET in 32nm technology

Performance Optimization of Dynamic and Domino logic Carry Look Ahead Adder using CNTFET in 32nm technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 5, Ver. I (Sep - Oct. 2015), PP 30-35 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Performance Optimization of Dynamic

More information

Active Pixel Sensors Fabricated in a Standard 0.18 um CMOS Technology

Active Pixel Sensors Fabricated in a Standard 0.18 um CMOS Technology Active Pixel Sensors Fabricated in a Standard.18 um CMOS Technology Hui Tian, Xinqiao Liu, SukHwan Lim, Stuart Kleinfelder, and Abbas El Gamal Information Systems Laboratory, Stanford University Stanford,

More information

Performance of Low Power SRAM Cells On SNM and Power Dissipation

Performance of Low Power SRAM Cells On SNM and Power Dissipation Performance of Low Power SRAM Cells On SNM and Power Dissipation Kanika Kaur 1, Anurag Arora 2 KIIT College of Engineering, Gurgaon, Haryana, INDIA Abstract: Over the years, power requirement reduction

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0. Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.3 V Memisevic, E.; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik;

More information

4196 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 11, NOVEMBER 2016

4196 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 11, NOVEMBER 2016 4196 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 11, NOVEMBER 2016 Hybrid Open Drain Method and Fully Current- Based Characterization of Asymmetric Resistance Components in a Single MOSFET Jaewon

More information

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology K. N. Toosi University of Technology Chapter 7. Field-Effect Transistors By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology http://wp.kntu.ac.ir/faradji/digitalelectronics.htm

More information

Low Voltage SC Circuit Design with Low - V t MOSFETs

Low Voltage SC Circuit Design with Low - V t MOSFETs Low Voltage SC Circuit Design with Low - V t MOSFETs Seyfi S. azarjani and W. Martin Snelgrove Department of Electronics, Carleton University, Ottawa Canada K1S-56 Tel: (613)763-8473, E-mail: seyfi@doe.carleton.ca

More information

M. Jagadesh Kumar and G. Venkateshwar Reddy Department of Electrical Engineering, Indian Institute of Technology, Hauz Khas, New Delhi , India

M. Jagadesh Kumar and G. Venkateshwar Reddy Department of Electrical Engineering, Indian Institute of Technology, Hauz Khas, New Delhi , India M. Jagadesh Kumar and G. V. Reddy, "Diminished Short Channel Effects in Nanoscale Double- Gate Silicon-on-Insulator Metal Oxide Field Effect Transistors due to Induced Back-Gate Step Potential," Japanese

More information

THRESHOLD VOLTAGE CONTROL SCHEMES

THRESHOLD VOLTAGE CONTROL SCHEMES THRESHOLD VOLTAGE CONTROL SCHEMES IN FINFETS V. Narendar 1, Ramanuj Mishra 2, Sanjeev Rai 3, Nayana R 4 and R. A. Mishra 5 Department of Electronics & Communication Engineering, MNNIT-Allahabad Allahabad-211004,

More information