RRAM for Future Memory and Computing Applications

Size: px
Start display at page:

Download "RRAM for Future Memory and Computing Applications"

Transcription

1 RRAM for Future Memory and Computing Applications Ming Liu Key Lab. of Microelectronic Devices &Integrated Technology, (CAS) Institute of Microelectronics, CAS Macao University, July7.2018

2 Outline 2 Computing System s Challenge RRAM: Memory/Storage Convergence RRAM: Memory/Computing Convergence Summary

3 Big Data comes 3 Media Big Data Machine EB ZB ~180 ZB in 2025 now Social Historical Data volume in ZB Forecast Source: IDC From 2013, data nearly doubles every two years In 2025, it s expected that the data volume will reach ~180 ZB Powerful and Energy Efficiency Computing to Process Big Data!

4 Computing challenge in Big Data era 4 CPU Input Memory Bus Output Computing performance improved times in past 60 years. Device: energy efficiency slow down due to power constraints at 22nm; Architecture: CPU and memory was physically separated. An increasing performance gap between CPU and memory, which is known as the memory wall.

5 Memory hierarchy 5 5X 20X 2000X 50X Processor <1 ns Speed SRAM 5 ns ~ MB DRAM 100 ns ~ GB Flash 20 μs ~100GB Hard Drive 1 ms ~ TB Volume Memory Hierarchy: tradeoff between speed and density, bottleneck to limit the computing performance. Universe memory: blurs distinction between memory (fast, volatile and low density) and storage (slow, non-volatile and high density). NVM play more important role in future computing!

6 NVM: a solution to future computing 6 CPU Memory Storage Memory Hierarchy: more date movement CPU NVM M/S Convergence: less data movement NVM- CPU M/C Convergence: no data movement Near term: M/S convergence by new NVM, simplifying memory hierarchy, less data movement, high performance; Long term: M/C convergence by integrating memory and computation in one device, Memory Wall problem can be solved.

7 Outline 7 Computing System s Challenge RRAM: Memory/Storage Convergence RRAM: Memory/Computing Convergence Summary

8 RRAM: a promising candidate 8 RRAM: Promising Emerging Memory Technology!

9 RRAM s history and future 9 First array demonstration First publication Journal of Applied Physics, 1962,33:9 History Panasonic IEDM Elpida announces RRAM chip, aims to enter market 2015 Now RRAM for embedded application Near future IMECAS RRAM for standalone application Future RRAM for computing Our group started the joint development of RRAM in embedded and stand-alone applications with industry from 2015.

10 Probability (%) 28 nm RRAM integration 10 (1) W plug formation (2) Cell trench open (3) Deposit TMO/TE (4) CMP to form cell US Patent, One extra mask Resistance Initial resistance Ta IL Ti IL 0 nm 1.5 nm 3 nm 5 nm IL Thickness RRAM built between CT and Metal 1, W as BE, TMO as switching layer and M1 as TE Interfacial layer between TMO and TE: Initial Resistance more uniform and sensitive to the thickness of Ti or Ta ; Block TE migration at BEOL thermal process. PECVD, High T Q time, Ashing, alloy. More than 90 min annealing at 400 o C. 0 W/O W/ BEOL thermal budget Rinitial ( ) IEDM 2017, 36-39

11 Cumulative Probability (%) Electrical test on the 1T1R array LRS HRS SET: 3V/100ns RESET: -3V/100ns Read@RT Resistance ( ) HRS and LRS distribution in 1Mb array by 3V/100ns SET and -3V/100ns RESET pulse. IEDM 2017, 36-39

12 RRAM: a good choice for 3D stacking 12 3D X-point 3D V-RRAM Suitable for 3D integration;either in 3D X-point or BiCS 3D NAND like vertical array (VRRAM). RRAM devices linear I-V in LRS, unselected cells in LRS, sneaking current could be generated. A high performance nonlinear selector or self-selective RRAM cell.

13 Current (A) Solution for the sneak current issues 13 RRAM with Diode p-n type diodes, Schottky diodes, Heterojunction Generally, applying to the unipolar RRAM rectifying ratio is defined as R -V /R V W/TiO x /Ni diode with selfcompliance to integrate bipolar Cu/HfO 2 /Pt Nanoscle, 2013, 5:4785 RRAM with switch- based selector Mater insulator transition (MIT) Threshold switch (TS) threshold switch be as volatile switch applying to the unipolar or bipolar RRAM rectifying ratio is defined as R V/2 /R V Pd/TaO x /Ta/Pd with non-linearity of Nanoscle, 2015, 7:4964 Resistive switch: Mixed ionic electronic (MIEC) Complementary resistive switch structure Reduce off-state leakage TE (Pt) Tunneling layer (HfO ) TS layer (Doped HfO ) BE (Cu) Threshold Switching Voltage (V) applying to the unipolar or bipolar RRAM rectifying ratio is defined as R V/2 /R V Cu doped HfO x with nonlinearity >10 7, Jon>1MA/cm Jon >1 MA/cm 2 leakage current With Bipolar ~pa level operation IEDM 2015, >10 7 Self-Selective Cell Top Electrode (TE) Memory layer (ML) Selective layer (ML) Bottom Electrode(BE) Hybrid selective layer and memory layer Nonlinearity ratio is defined as I@Vread/Vread/2 The only choice for 3D Vertical RRAM. V rea d V read /2 Self-rectifying RRAM: Pt/WO 3 /a-si/cu Self-rectifying Au/ZrO 2 :nc- Au/n+-Si JAP, 2009, 106:073724; IEEE EDL, 2010, 31:344; IEEE EDL, 2013, 34:229

14 Current(A) Current(A) (A) Threshold Switching in Cu doped HfO x nm Cu plug Pt Single TS layer HfO2 Cu 5 nm TS layer + Tunneling layer HfO2 layer Doped (2nm) Doped (2nm)+undoped (2nm) Undoped (4nm) Modeling data Voltage(V) Threshold switching W annealing W/O annealing W annealing W/O annealing Memory switching Pt HfO 2 (2nm) Cu Voltage(V) Voltage (V) Reduce off-state leakage TE (Pt) Tunneling layer (HfO ) TS layer (Doped HfO ) BE (Cu) Threshold Switching Cu doped HfO x RRAM fabricated in 130nm BEOL. TS observed after annealing 30min at C. Introducing the 2nd tunneling layer, the leakage current was reduced by 5 orders. IEDM 2015,

15 Current (A) Bilayer Selector Device A Jon >1 MA/cm 2 leakage current ~pa level With Bipolar operation >10 7 Ideal Selector Voltage (V) Non-linearity >10 7, Jon>1MA/cm 2, Leakage current: pa level. Asymmetrical I-V curve might be resulted from the barrier height between top electrode and the tunneling layer. IEDM 2015,

16 Current (A) Cumulative Probability Resistance( ) Current(A) Selector Array Peak :1V Period:100ns Width:50ns Read@0.3V Read@0.6V Cycle number array Voltage (V) Consecutive DC switching cycles o C Distribution of on and off states current read@0.3v read@0.6v Current(A) read@0.3v read@0.6v Stress time(s) Endurance: 10 10, high temperature without degradation. 1 kb selector array with 1T-1S: High nonlinearity, High on-current density, tight distributions on and off current. Switching voltage variation, limited voltage window for reading. IEDM 2015,

17 Band Gap (ev) Interface type selector 17 (a) Ru TaOx TaOx-y W (b) Stoichiometric phase Ru TaOx W A B 5 nm (c) W Sub-oxide phase Trapezoidal Band TaOx Ru (d) Deficient Stoichiometry O/Ta ratio Good uniform and larger R window, Lower nonlinear and on-current. Trapezoidal band shape: high nonlinear and on-current compared to uniform or crested barrier. O- gradually changed TaO x layer: in surface, Ta was fully oxidative, oxygen component decreased as depth increased.

18 c na Percentiles Reference Line 300 Count Vread DC I-V cycles Turn-on On-state Voltage Selectivity -8 DC I-V Vm~3V cycles 10 Off-state /2Vread Voltage(V) Voltage(V) b = = e Probebility ormal Percentiles unt 1 = E-10 = E Turn-on voltage (V) selectivity (~5 104), lager A higher d current99.999density (~1 MA),e high f 1.0 voltage margin VM (3V) achieved curve is almost overlapped, After 10 successive DC cycles, each I-V standard deviation 10 is negligible, showing 0.6 excellent uniformity. 200 Percentiles Reference Line ercentiles Current(A) Current(A) a Normal Percentiles Device performance 95 70

19 Current(A) Current (A) Endurance and High T Operation V read =1.5V 1/2V read =0.75V V READ 1/2V READ Pulse Cycle (#) Stress time (s) Endurance as high as has been achieved. High temperature without degradation is allowed.

20 Current (A) Nonlinearity Current (A) Cumulative Probability (%) 1S 1R integration in 1kb Array S in 1k Array READ=0.75V READ=1.5V Voltage (V) Current (A) 10 4 nonlinearity was achieved in 1S1R with excellent uniformity. The read region is from 1.2V to 3.8V. The read region with nonlinearity higher than 10 3 is from 1.2V to 2.4V. 95 a c RRAM only 1S1R self-compliance Read region Voltage (V) -4 b d

21 Self-selective Cell (SSC) for VRRAM 21 Holes WL n+ 3 WL n+ 2 WL n+ 1 BL BL Memory layer Selective layer BL Electrode Self-selective cell WL n In 3D VRRAM, intermediate electrode is not allowed, memory cells on the same BL will be shorted, connecting with the same selector. The self-selective memory cell with rectifying or build-in nonlinearity is the only choice for 3D VRRAM.

22 Intensity (a.u.) Current (A) Voltage (V) Typical I-V curve of bilayer SSC 22 TiN CuGeS HfO 2 W Ti O Hf Cu S Ge W Depth (nm) TiN 50 nm TEM and EDS of bilayer device Ultra-low half-select leakage (<0.1 pa) HfO 2 CuGeS Very high nonlinearity (>10 3 ) Low operation current (below na) W Read region V P LRS HRS V S CuGeS thickness (nm) NL>10 3 Half-select Leakage<0.1pA Voltage (V) V P I-V curve of bilayer SSC V s

23 3D VRRAM Integration of SSC 23 (a) (b) (c) (d) (h) BL BL BL Step 1 Step 2 Step 3 Inline check 1 Inline check 2 Inline check 3 (e) (f) (g) BL (i) WL2-0~WL2-7 WL1-0~WL1-7 4x8x32 WL 1 WL 2 WL 3 WL 4 4 layer D VRRAM array HfO 2 /CuGeS bi-layer SSC with TE deposited on sidewall by sputtering. Each horizontal WL was opened by selective etching. Staircase WL contacts on each layer are formed. IEDM 2015,

24 Resistance ( ) Resistance ( ) Reliability test of SSC HRS SET with -4V/1μs RESET with 4V/500ns LRS -1.4 V Switching Cycles (#) V Time (s) Endurance of SSC with Retention of SSC for 10000s. Each layer devices exhibit stable and uniform characteristics. IEDM 2015,

25 Weight (%) 8 layer integration of 3D VRRAM 25 (a) (b) 100 nm 0.5 um 50 nm (c) Hf Ta W O (d) (e) TiN HfO 2 TaO x Ti TiN Distance (nm) W N Wt% O Wt% Hf Wt% Ta Wt% W Wt% Ti Wt% An 8-layer integration of 3D VRRAM achieved. High uniformity with on/off ratio ( 100 times) and 100x nonlinearity. IEDM 2017, 48-51

26 Outline 26 Computing System s Challenge RRAM: Memory/Storage Convergence RRAM: Memory/Computing Convergence Summary

27 Ways of Memory/computing convergence 27 Digital Analog Brain inspired Source: Nat, Borghetti J Source: EDL, Gao Li Source: Nat. Nanotech, Tuma T In memory computing, to eliminate the energy-intensive and timeconsuming data movement. Focused on identifying novel logic gate concepts with lower energy and area consumption. RRAM s advantages, as direct access by interconnect lines, capability to electrically reconfigure device, and nanoscale miniaturization.

28 RRAM-based logic unit V-R logic gate R-R logic gate 28 Source: Nanotech, E. Linn A considerable saving of static power Low requirement of device characteristics Input (voltage) and output (resistance) signals are physically different. Additional hardware burden, time and power dissipation will be cost. Source: Adv. Mater, P. Huang Only physical variable-resistance. gate cascading can be achieved easily Devices with high uniform characteristics are necessary

29 High uniformity of RRAM 29 Cu/α-Si/α-C/Pt cycles LRS@Read at 0.2V HRS@Read at 0.2V Positive Pulse@3.5 V 100ns Negative Pulse@ -2 V 100ns HRS Retention@100ºC Retention@85ºC > 10 years LRS Cu/α-Si/α-C/Pt shows good endurance, retention and uniformity.

30 NAND logic gate 30 Based on principle of resistance interaction, NAND operation was realized. Device A and B hold input signal and device R store operation result. NAND is basic operation of all the Boolean logic, other logics can achieve by proper cascading.

31 Implementation of 16 Boolean logic 31 Resistance states of RRAM for representation of logic 0 and 1 ; Via cascade of logic units, 16 Boolean logic can be implemented; 10 logic can be accomplished in 1 step.

32 Implementation of 16 Boolean logic 32 Implementation of NXOR is the most complex one, it needs 5 devices in 3 steps.

33 Realization of 1 bit full adder 33 1 bit full adder needs 5 devices and 1 reference resistor, operation is finished in 6 steps. Unpublished

34 Brain-inspired computing with RRAM Spiking neural networks 34 Synapses Neurons The human neural system is inherently memory/computation convergence. Basic elements: neurons(receives, processes, stores and transmits information via its synapses), and synapses (connections between neurons). In spiking neural networks (SNN), the neurons integrate inputs from neurons in the previous layer and fires when a threshold value is reached, while synapses are connections between neurons.

35 Synaptic functions DEVICE STUCTURE I-V Voltage(V) x Voltage(V) V 0.5us LTD 1x Pulse number Voltage (V) LTP RESET 10-9 SET Pd HfO2 W Voltage (V) 1 2 t>0 post-synaptic spike μ 15.0μ 20.0μ t (s) pre-synaptic LTD 50 t<0 post-synaptic spike 0 pre-synaptic spike t>0 post-synaptic spike 0 Conductance ( S) 10-5 pre-synaptic spike spike Pulse Number (#) V V Number (#) 300 IEEE EDL, 2017, 38:1208 Adv. Funct. Mater., 2018, 28: ; Nanoscale, 2017, 9: t ( s) t < t > t ( s) W (%) Voltage (V) t<0-10.0μ -5.0μ pre-synaptic spike post-synaptic spike x x x10-4 LTP x10-5 Current (A) Current (A) 4.0x us 150 Conductance ( S) 0 0.2V 0.2V W (%) V 200 Weight change (%) Conductance (us) 600 STDP(overlapping) Current(uA) Current(uA) 800 Current (A) Pd HfO2 WOX W LTP&LTD 500 Cu a-si Pt Pd HfO2 TiN 35

36 Artificial neuron circuit 36 Threshold switching Neuron design Operating principle and similarity to bio-neuron According to the integration and fire model, a simply neuron circuit is constructed with 1 TSM, 1 capacitor and 1 resistor. IEEE EDL, 2018, 39:308

37 Functions of artificial neuron 37 Capacitor charging/ discharging Output spike Spiking under different input intensities The TSM neuron successfully achieved four key behaviors of bio-neurons: the all-or-nothing spiking, threshold-driven spiking, a refractory period, and a strength-modulated frequency response. IEEE EDL, 2018, 39:308

38 Digit recognition simulation 38 Digit recognition simulation with TSM neurons demo. on an array with 1 input layer (30 synapses) and 1 output layer (10 neurons); Lateral inhibition is implemented with the winner-take-all rule. IEEE EDL, 2018, 39:308

39 Outline 39 Computing System s Challenge RRAM: Memory/Storage Convergence RRAM: Memory/Computing Convergence Summary

40 Summary 40 Computing technology improved times in past 60 years, face big challenge: Moore law slow-down ( trade off between performance and power density), Limitation of traditional memories (fast, high density, cheap, non-volatile) Von Neumann architecture( performance gap between memory and CPU) M/S convergence to reduce memory hierarchy and M/C convergence to realize brain-like high efficiency computing. RRAM as a new Memory technology, has already entered niche market for embedded application. Highly promising, significant efforts are still needed to address the interdisciplinary challenges of device optimization, circuit design, and system management.

41 Acknowledgement 41 Group Members: Prof. shibing Long, Qi Liu, Hangbing Lv Dr. Jinshun Bi, Feng Zhang, Jing Liu, Yuanlu Xie, Xiaoxin Xu, Qing Luo, Tuo Shi, etc. Graduated Students: Weihua Guan, Yingtao Li, Sen Zhang, Ming Wang, Qingyun Zuo, Meiyun Zhang, Sen Liu, Xiaolong Zhao, Tiancheng Gong, Xumeng Zhang, Rongrong Cao, Rui Wang, etc. Collaborator: Funding: National Natural Science Foundation of China (NSFC) National Basic Research Program of China (973 Program) Hi-Tech Research And Development Program Of China (863 Program) National Key Project (NKP)

42 Thanks for your attention!

Resistive Switching Memory in Integration

Resistive Switching Memory in Integration EDS Mini Colloquim WIMNACT 39, Tokyo Resistive Switching Memory in Integration Ming Liu Institute of Microelectronics, CAS Feb.7, 2014 Outline Motivation RRAM Integration Self-Rectifying RRAM 1D1R Integration

More information

In pursuit of high-density storage class memory

In pursuit of high-density storage class memory Edition October 2017 Semiconductor technology & processing In pursuit of high-density storage class memory A novel thermally stable GeSe-based selector paves the way to storage class memory applications.

More information

Binary Neural Network and Its Implementation with 16 Mb RRAM Macro Chip

Binary Neural Network and Its Implementation with 16 Mb RRAM Macro Chip Binary Neural Network and Its Implementation with 16 Mb RRAM Macro Chip Assistant Professor of Electrical Engineering and Computer Engineering shimengy@asu.edu http://faculty.engineering.asu.edu/shimengyu/

More information

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its

More information

Nano-device and Architecture Interaction in Machine/deep Learning

Nano-device and Architecture Interaction in Machine/deep Learning Nano-device and Architecture Interaction in Machine/deep Learning Assistant Professor of Electrical Engineering and Computer Engineering shimengy@asu.edu http://faculty.engineering.asu.edu/shimengyu/ 12/13/2017

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

CMOS Analog Integrate-and-fire Neuron Circuit for Driving Memristor based on RRAM

CMOS Analog Integrate-and-fire Neuron Circuit for Driving Memristor based on RRAM JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.2.174 ISSN(Online) 2233-4866 CMOS Analog Integrate-and-fire Neuron

More information

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage 64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage Yufeng Xie a), Wenxiang Jian, Xiaoyong Xue, Gang Jin, and Yinyin Lin b) ASIC&System State Key Lab, Dept. of

More information

Supplementary Figures

Supplementary Figures Supplementary Figures Supplementary Figure 1. The schematic of the perceptron. Here m is the index of a pixel of an input pattern and can be defined from 1 to 320, j represents the number of the output

More information

Analog Synaptic Behavior of a Silicon Nitride Memristor

Analog Synaptic Behavior of a Silicon Nitride Memristor Supporting Information Analog Synaptic Behavior of a Silicon Nitride Memristor Sungjun Kim, *, Hyungjin Kim, Sungmin Hwang, Min-Hwi Kim, Yao-Feng Chang,, and Byung-Gook Park *, Inter-university Semiconductor

More information

Mixed Ionic Electronic Conduction (MIEC) based Access Devices for 3-D Crosspoint Memory

Mixed Ionic Electronic Conduction (MIEC) based Access Devices for 3-D Crosspoint Memory Mixed Ionic Electronic Conduction (MIEC) based Access Devices for 3-D Crosspoint Memory Kumar Virwani, G. W. Burr, R. S. Shenoy, G. Fraczak, C. T. Rettner, A. Padilla, R. S. King, K. Nguyen, A. N. Bowers,

More information

Chalcogenide Memory, Logic and Processing Devices. Prof C David Wright Department of Engineering University of Exeter

Chalcogenide Memory, Logic and Processing Devices. Prof C David Wright Department of Engineering University of Exeter Chalcogenide Memory, Logic and Processing Devices Prof C David Wright Department of Engineering University of Exeter (david.wright@exeter.ac.uk) Acknowledgements University of Exeter Yat-Yin Au, Jorge

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

RRAM based analog synapse device for neuromorphic system

RRAM based analog synapse device for neuromorphic system RRAM based analog synapse device for neuromorphic system Kibong Moon, Euijun Cha, and Hyunsang Hwang Pohang University of Science and Technology (POSTECH), Korea The 13 th Korea-U.S. Forum on Nanotechnology,

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture

Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture Cong Xu, Dimin Niu, Shimeng Yu, Yuan Xie, Pennsylvania State University, {czx102,dun118,yuanxie}@cse.psu.edu

More information

A Survey of Cross Point Phase

A Survey of Cross Point Phase A Survey of Cross Point Phase Change Memory Technologies DerChang Kau Intel Corporation Santa Clara, CA Sematech International Symposium on Advanced Gate Stack Technology 1 Sep/30/2010 Hilton Garden Inn,

More information

3D Vertical Dual-Layer Oxide Memristive Devices for Neuromorphic Computing

3D Vertical Dual-Layer Oxide Memristive Devices for Neuromorphic Computing 3D Vertical Dual-Layer Oxide Memristive Devices for Neuromorphic Computing Siddharth Gaba, Patrick Sheridan, Chao Du, and Wei Lu* Electrical Engineering and Computer Science, University of Michigan, Ann

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

A Differential 2R Crosspoint RRAM Array with Zero Standby Current

A Differential 2R Crosspoint RRAM Array with Zero Standby Current 1 A Differential 2R Crosspoint RRAM Array with Zero Standby Current Pi-Feng Chiu, Student Member, IEEE, and Borivoje Nikolić, Senior Member, IEEE Department of Electrical Engineering and Computer Sciences,

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/2/6/e1501326/dc1 Supplementary Materials for Organic core-sheath nanowire artificial synapses with femtojoule energy consumption Wentao Xu, Sung-Yong Min, Hyunsang

More information

FIG. 1: (a) Schematic of the device showing the material stack and relative thickness of each layer. (b) I-V switching characteristics of the device.

FIG. 1: (a) Schematic of the device showing the material stack and relative thickness of each layer. (b) I-V switching characteristics of the device. Pulse Width and Height Modulation for Multi-level Resistance in bi-layer TaO x based RRAM Zahiruddin Alamgir, 1 Karsten Beckmann, 1 Joshua Holt, 1 and Nathaniel C. Cady 1 Colleges of Nanoscale Science

More information

Power MOSFET Zheng Yang (ERF 3017,

Power MOSFET Zheng Yang (ERF 3017, ECE442 Power Semiconductor Devices and Integrated Circuits Power MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Evolution of low-voltage (

More information

A Synchronized Axon Hillock Neuron for Memristive Neuromorphic Systems

A Synchronized Axon Hillock Neuron for Memristive Neuromorphic Systems A Synchronized Axon Hillock Neuron for Memristive Neuromorphic Systems Ryan Weiss, Gangotree Chakma, and Garrett S. Rose IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), Boston, Massachusetts,

More information

Non-Volatile Memory Based on Solid Electrolytes

Non-Volatile Memory Based on Solid Electrolytes Non-Volatile Memory Based on Solid Electrolytes Michael Kozicki Chakku Gopalan Murali Balakrishnan Mira Park Maria Mitkova Center for Solid State Electronics Research Introduction The electrochemical redistribution

More information

Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor

Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor Department of Applied Physics Korea University Personnel Profile (Affiliation

More information

SiGe epitaxial memory for neuromorphic computing with reproducible high performance based on engineered dislocations

SiGe epitaxial memory for neuromorphic computing with reproducible high performance based on engineered dislocations SUPPLEMENTARY INFORMATION Articles https://doi.org/10.1038/s41563-017-0001-5 In the format provided by the authors and unedited. SiGe epitaxial memory for neuromorphic computing with reproducible high

More information

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Chapter 2 : Semiconductor Materials & Devices (II) Feb Chapter 2 : Semiconductor Materials & Devices (II) 1 Reference 1. SemiconductorManufacturing Technology: Michael Quirk and Julian Serda (2001) 3. Microelectronic Circuits (5/e): Sedra & Smith (2004) 4.

More information

SneakPath compensation circuit for programming and read operations in RRAM-based CrossPoint architectures

SneakPath compensation circuit for programming and read operations in RRAM-based CrossPoint architectures SneakPath compensation circuit for programming and read operations in RRAM-based CrossPoint architectures Alexandre Levisse, Bastien Giraud, Jean-Philippe Noel, Mathieu Moreau, Jean-Michel Portal To cite

More information

In-Line-Test of Variability and Bit-Error-Rate of HfO x -Based Resistive Memory

In-Line-Test of Variability and Bit-Error-Rate of HfO x -Based Resistive Memory This manuscript is the accepted version of the following IEEE conference paper: Ji, B.L.; Li, H.; Ye, Q.; Gausepohl, S.; Deora, S.; Veksler, D.; Vivekanand, S.; Chong, H.; Stamper, H.; Burroughs, T.; Johnson,

More information

Nanoscale switching in resistive memory structures

Nanoscale switching in resistive memory structures Nanoscale switching in resistive memory structures D. Deleruyelle, C. Dumas, M. Carmona, Ch. Muller IM2NP UMR CNRS 6242 & Institut Carnot STAR Polytech Marseille, Université de Provence IMT Technopôle

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

Fabrication and Characterization of Emerging Nanoscale Memory

Fabrication and Characterization of Emerging Nanoscale Memory Fabrication and Characterization of Emerging Nanoscale Memory Yuan Zhang, SangBum Kim, Byoungil Lee, Marissa Caldwell(*), and (*) Chemistry Department Stanford University, Stanford, California, U.S.A.

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION A fast, high endurance and scalable non-volatile memory device made from asymmetric Ta 2 O 5-x /TaO 2-x bilayer structures Myoung-Jae Lee 1, Chang Bum Lee 1, Dongsoo Lee 1, Seung Ryul Lee 1, Man Chang

More information

Simple Power IC for the Switched Current Power Converter: Its Fabrication and Other Applications March 3, 2006 Edward Herbert Canton, CT 06019

Simple Power IC for the Switched Current Power Converter: Its Fabrication and Other Applications March 3, 2006 Edward Herbert Canton, CT 06019 Simple Power IC for the Switched Current Power Converter: Its Fabrication and Other Applications March 3, 2006 Edward Herbert Canton, CT 06019 Introduction: A simple power integrated circuit (power IC)

More information

Architecture of Computers and Parallel Systems Part 9: Digital Circuits

Architecture of Computers and Parallel Systems Part 9: Digital Circuits Architecture of Computers and Parallel Systems Part 9: Digital Circuits Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems Part

More information

Energy and Performance Driven Circuit Design for Emerging Phase-Change Memory

Energy and Performance Driven Circuit Design for Emerging Phase-Change Memory Energy and Performance Driven Circuit Design for Emerging Phase-Change Memory Dimin Niu, Yibo Chen, Xiangyu Dong, Yuan Xie The Pennsylvania State University, University Park, PA, USA {dun118, yxc236, xydong,

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

Journal of Electron Devices, Vol. 20, 2014, pp

Journal of Electron Devices, Vol. 20, 2014, pp Journal of Electron Devices, Vol. 20, 2014, pp. 1786-1791 JED [ISSN: 1682-3427 ] ANALYSIS OF GIDL AND IMPACT IONIZATION WRITING METHODS IN 100nm SOI Z-DRAM Bhuwan Chandra Joshi, S. Intekhab Amin and R.

More information

Neuromorphic Computing based Processors

Neuromorphic Computing based Processors Neuromorphic Computing based Processors Hao Jiang A collaborative research among San Francisco State University, EI-Lab at University of Pittsburgh, HP Labs, and AFRL Outline Why Neuromorphic Computing?

More information

Gallium nitride (GaN)

Gallium nitride (GaN) 80 Technology focus: GaN power electronics Vertical, CMOS and dual-gate approaches to gallium nitride power electronics US research company HRL Laboratories has published a number of papers concerning

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

John Lazzaro and John Wawrzynek Computer Science Division UC Berkeley Berkeley, CA, 94720

John Lazzaro and John Wawrzynek Computer Science Division UC Berkeley Berkeley, CA, 94720 LOW-POWER SILICON NEURONS, AXONS, AND SYNAPSES John Lazzaro and John Wawrzynek Computer Science Division UC Berkeley Berkeley, CA, 94720 Power consumption is the dominant design issue for battery-powered

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor DRAM & Flexible RRAM This Week s Subject p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor CMOS Logic Inverter NAND gate NOR gate CMOS Integration & Layout GaAs MESFET (JFET) 1 Flexible

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

The Path Toward Efficient Nano-Mechanical Circuits and Systems

The Path Toward Efficient Nano-Mechanical Circuits and Systems The Path Toward Efficient Nano-Mechanical Circuits and Systems http://www.chi-yun.com/blog/wp-content/uploads/2008/10/ba-road-less.jp Tsu-Jae King Liu 1 Elad Alon 1, Vladimir Stojanovic 2, Dejan Markovic

More information

Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control

Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control Shoun Matsunaga 1,2, Akira Katsumata 2, Masanori Natsui 1,2, Shunsuke Fukami 1,3, Tetsuo Endoh 1,2,4,

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Value Creation of AI in the Manufacturing Industry

Value Creation of AI in the Manufacturing Industry Value Creation of AI in the Manufacturing Industry Janet George Fellow/Chief Data Scientist Western Digital Corporation September 28 th, 2016 2016 Western Digital Corporation or its affiliates. All rights

More information

3D ICs: Recent Advances in the Industry

3D ICs: Recent Advances in the Industry 3D ICs: Recent Advances in the Industry Suresh Ramalingam Senior Director, Advanced Packaging Outline 3D IC Background 3D IC Technology Development Summary Acknowledgements Stacked Silicon Interconnect

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

電子電路. Memory and Advanced Digital Circuits

電子電路. Memory and Advanced Digital Circuits 電子電路 Memory and Advanced Digital Circuits Hsun-Hsiang Chen ( 陳勛祥 ) Department of Electronic Engineering National Changhua University of Education Email: chenhh@cc.ncue.edu.tw Spring 2010 2 Reference Microelectronic

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

Differential 2R Crosspoint RRAM for Memory System in Mobile Electronics with Zero Standby Current

Differential 2R Crosspoint RRAM for Memory System in Mobile Electronics with Zero Standby Current EE241 Final Project Report, Spring 213 1 Differential 2R Crosspoint RRAM for Memory System in Mobile Electronics with Zero Standby Current Pi-Feng Chiu, Pengpeng Lu, and Zeying Xin Electrical Engineer

More information

Supplementary Information

Supplementary Information Normalized Intensity Current (A) Supplementary Information 10-2 10-3 10-4 10-5 10-6 10-7 10-8 10-9 30x30 m 2 V set V reset Electroforming Pt/ / /Pt 10-10 -4-3 -2-1 0 1 2 3 4 5 Voltage (V) Pt/ / /SiO 2

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

Towards a Reconfigurable Nanocomputer Platform

Towards a Reconfigurable Nanocomputer Platform Towards a Reconfigurable Nanocomputer Platform Paul Beckett School of Electrical and Computer Engineering RMIT University Melbourne, Australia 1 The Nanoscale Cambrian Explosion Disparity: Widerangeof

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

INVESTIGATION OF RESISTIVE SWITCHING AND CONDUCTION MECHANISMS IN OXIDE-BASED RRAM DEVICE FOR EMERGING NONVOLATILE MEMORY APPLICATIONS

INVESTIGATION OF RESISTIVE SWITCHING AND CONDUCTION MECHANISMS IN OXIDE-BASED RRAM DEVICE FOR EMERGING NONVOLATILE MEMORY APPLICATIONS INVESTIGATION OF RESISTIVE SWITCHING AND CONDUCTION MECHANISMS IN OXIDE-BASED RRAM DEVICE FOR EMERGING NONVOLATILE MEMORY APPLICATIONS FANG ZHENG SCHOOL OF ELECTRICAL & ELECTRONIC ENGINEERING NANYANG TECHNOLOGICAL

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

GaN power electronics

GaN power electronics GaN power electronics The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published Publisher Lu, Bin, Daniel Piedra, and

More information

Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM

Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM [ 2007 International Conference on VLSI Design ] Jan. 9, 2007 Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM Masaaki Iijima, Masayuki Kitamura, Masahiro Numa, *Akira

More information

The challenges of configurable hybrid Memristor-CMOS Integrated circuits fabrication

The challenges of configurable hybrid Memristor-CMOS Integrated circuits fabrication The challenges of configurable hybrid Memristor-CMOS Integrated circuits fabrication 30 nm Hewlett-Packard Laboratories, Palo Alto CA Gilberto Medeiros Ribeiro gilbertor@hp.com 2010 Hewlett-Packard Development

More information

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE P a g e 80 Available online at http://arjournal.org APPLIED RESEARCH JOURNAL RESEARCH ARTICLE ISSN: 2423-4796 Applied Research Journal Vol. 3, Issue, 2, pp.80-86, February, 2017 COMPARATIVE STUDY ON SINGLE

More information

Digital Electronics Part II - Circuits

Digital Electronics Part II - Circuits Digital Electronics Part II - Circuits Dr. I. J. Wassell Gates from Transistors 1 Introduction Logic circuits are non-linear, consequently we will introduce a graphical technique for analysing such circuits

More information

IMI Labs Semiconductor Applications. June 20, 2016

IMI Labs Semiconductor Applications. June 20, 2016 IMI Labs Semiconductor Applications June 20, 2016 Materials Are At the Core of Innovation in the 21st Century Weight Space Flexibility Heat Management Lightweight Energy Efficient Temperature Energy Efficient

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

PCM progress report no. 7: A look at Samsung's 8-Gb array

PCM progress report no. 7: A look at Samsung's 8-Gb array PCM progress report no. 7: A look at Samsung's 8-Gb array Here's a discussion on the features of Samsung s 8-Gb array. By Ron Neale After Samsung s presentation [1] of their 8-Gb PRAM at ISSCC2012 and

More information

Resistive Switching Mechanisms on TaO x and SrRuO 3 Thin Film Surfaces Probed by Scanning Tunneling Microscopy

Resistive Switching Mechanisms on TaO x and SrRuO 3 Thin Film Surfaces Probed by Scanning Tunneling Microscopy Resistive Switching Mechanisms on TaO x and SrRuO 3 Thin Film Surfaces Probed by Scanning Tunneling Microscopy Marco Moors, 1# Kiran Kumar Adepalli, 2,3# Qiyang Lu, 3 Anja Wedig, 1 Christoph Bäumer, 1

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Fabrication and electrical characterization of MONOS memory with novel high-κ gate stack

Fabrication and electrical characterization of MONOS memory with novel high-κ gate stack Title Fabrication and electrical characterization of MONOS memory with novel high-κ gate stack Author(s) Liu, L; Xu, JP; Chan, CL; Lai, PT Citation The IEEE International Conference on Electron Devices

More information

EE105 Fall 2015 Microelectronic Devices and Circuits: MOSFET Prof. Ming C. Wu 511 Sutardja Dai Hall (SDH)

EE105 Fall 2015 Microelectronic Devices and Circuits: MOSFET Prof. Ming C. Wu 511 Sutardja Dai Hall (SDH) EE105 Fall 2015 Microelectronic Devices and Circuits: MOSFET Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 7-1 Simplest Model of MOSFET (from EE16B) 7-2 CMOS Inverter 7-3 CMOS NAND

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

MEMRISTOR DEVICES: FABRICATION, CHARACTERIZATION, SIMULATION, AND CIRCUIT DESIGN. Thesis. Submitted to. The School of Engineering of the

MEMRISTOR DEVICES: FABRICATION, CHARACTERIZATION, SIMULATION, AND CIRCUIT DESIGN. Thesis. Submitted to. The School of Engineering of the MEMRISTOR DEVICES: FABRICATION, CHARACTERIZATION, SIMULATION, AND CIRCUIT DESIGN Thesis Submitted to The School of Engineering of the UNIVERSITY OF DAYTON In Partial Fulfillment of the Requirements for

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

3-PHASE BRIDGE DRIVER

3-PHASE BRIDGE DRIVER Data Sheet No. PD-6.33E IR2132 Features n Floating channel designed for bootstrap operation Fully operational to +6V Tolerant to negative transient voltage dv/dt immune n Gate drive supply range from 1

More information

Impact of Basal Plane Dislocations and Ruggedness of 10 kv 4H-SiC Transistors

Impact of Basal Plane Dislocations and Ruggedness of 10 kv 4H-SiC Transistors 11th International MOS-AK Workshop (co-located with the IEDM and CMC Meetings) Silicon Valley, December 5, 2018 Impact of Basal Plane Dislocations and Ruggedness of 10 kv 4H-SiC Transistors *, A. Kumar,

More information

A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process

A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process LETTER IEICE Electronics Express, Vol.14, No.21, 1 10 A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process Xiaoyun Li, Houpeng Chen a), Yu Lei b), Qian Wang, Xi Li, Jie

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

High Temperature Mixed Signal Capabilities

High Temperature Mixed Signal Capabilities High Temperature Mixed Signal Capabilities June 29, 2017 Product Overview Features o Up to 300 o C Operation o Will support most analog functions. o Easily combined with up to 30K digital gates. o 1.0u

More information

MULTI-PORT MEMORY DESIGN FOR ADVANCED COMPUTER ARCHITECTURES. by Yirong Zhao Bachelor of Science, Shanghai Jiaotong University, P. R.

MULTI-PORT MEMORY DESIGN FOR ADVANCED COMPUTER ARCHITECTURES. by Yirong Zhao Bachelor of Science, Shanghai Jiaotong University, P. R. MULTI-PORT MEMORY DESIGN FOR ADVANCED COMPUTER ARCHITECTURES by Yirong Zhao Bachelor of Science, Shanghai Jiaotong University, P. R. China, 2011 Submitted to the Graduate Faculty of the Swanson School

More information

Barrier Engineering. Flash Memory. Rich Liu Macronix International Co., Ltd. Hsinchu, Taiwan, R.O.C. 1/ A*STAR/SRC/NSF Memory Forum

Barrier Engineering. Flash Memory. Rich Liu Macronix International Co., Ltd. Hsinchu, Taiwan, R.O.C. 1/ A*STAR/SRC/NSF Memory Forum Barrier Engineering g Scaling Limitations of Flash Memory Rich Liu Macronix International Co., Ltd. Hsinchu, Taiwan, R.O.C. 1/ Source Floating Gate NAND Device 1 Control gate ONO Floating gate Oxide Drain

More information

Understanding of oxide based resistive random access memory devices with multi-level resistance states and application

Understanding of oxide based resistive random access memory devices with multi-level resistance states and application The University of Toledo The University of Toledo Digital Repository Theses and Dissertations 2017 Understanding of oxide based resistive random access memory devices with multi-level resistance states

More information

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors Contemporary Engineering Sciences, Vol. 6, 2013, no. 6, 273-284 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3632 Study of Pattern Area of Logic Circuit with Tunneling Field-Effect

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information