Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control

Size: px
Start display at page:

Download "Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control"

Transcription

1 Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control Shoun Matsunaga 1,2, Akira Katsumata 2, Masanori Natsui 1,2, Shunsuke Fukami 1,3, Tetsuo Endoh 1,2,4, Hideo Ohno 1,2, and Takahiro Hanyu 1,2 1 Center for Spintronics Integrated Systems, Tohoku University 2 Research Institute of Electrical Communication, Tohoku University 3 NEC Corporation 4 Center for Interdisciplinary Research, Tohoku University Acknowledgment: This research is supported by the Japan Society for the Promotion of Science (JSPS) through its "Funding Program for World-Leading Innovative R&D on Science and Technology (FIRST Program)." Symposia on VLSI Technology and Circuits June 17, 2011.

2 Outline Background & Purpose 6T-2MTJ-Based NV-TCAM Cell and Test Chip Fabrication Design Example of Low-Power NV-TCAM Using Three-Level Segmented Match-Line Scheme Conclusions Slide 1

3 Background TCAM (Ternary Content-Addressable Memory) Fully Parallel equality-search High-speed pattern matching Applications: Database, virus checker, network router, etc. Demands: Large capacity, Low-power consumption Search word X X X 1 0 X X Parallel Input &Output Hit Hit TCAM cell structure 2-bit volatile storage Comp. Logic Leakage current Problems: Many device counts of TCAM cell Large cell area Increasing leakage current High standby power Purpose : Realize a compact and low-power TCAM Slide 2

4 Merits of MTJ-Based Nonvolatile Logic-in-Memory MTJ device Hardware structure Function MTJ layer CMOS layer Storage Logic Nonvolatile storage Perfectly cut off the power supply. Low standby power 3D-stacking structure Greatly reduce area overhead of storage. Compact CMOS/MTJ-hybrid logic Merge storage and logic functions. More compact Nonvolatile Logic-in-Memory structure is suitable for a compact and low-standby-power TCAM. Slide 3

5 Approaches for a Compact and Low-Power TCAM For Large Capacity (Small Cell Size) Utilize nonvolatile logic-in-memory - 3D-stacking structure - CMOS/MTJ-hybrid logic Compact ( & Nonvolatile) For Low Power (with maintaining search speed) Eliminate wasted cell activation Power OFF at standby state using nonvolatility NV-TCAM cell Low active power Low standby power Match-line (ML) 100% of activity High Power Large capacitive load Small load (Fast) Decreased activity Low Power Disabled (Standby) Medium load (Medium speed) Total load is the same Comparable speed Slide 4

6 Outline Background & Purpose 6T-2MTJ-Based NV-TCAM Cell and Test Chip Fabrication Design Example of Low-Power NV-TCAM Using Three-Level Segmented Match-Line Scheme Conclusions Slide 5

7 Mem. (b 1 ) Cell circuit S Conventional TCAM Cell S Comparison circuit Mem. (b 2 ) ML Match Line GND 2-bit Volatile Mem. (SRAM cells) Large cell size (16Tr. or 12Tr.) High leakage Stored data Input Matched result B (b 1, b 2 ) S ML 0 (0, 1) 1 (1, 0) X Don t care Truth table (0, 0) 0 1 (Hit) 1 0 () 0 0 () 1 1 (Hit) 0 1 (Hit) Masked 1 ML = b 1. S + b 2. S It is desirable to realize a compact and nonvolatile TCAM cell. Slide 6

8 ML VDD BIAS Proposed NV-TCAM Cell 6T-2MTJ NV-TCAM cell WL1 SL(S) ML voltage Keeper (Diode switch) Load SL(S) WL2 I CELL [µa] Measured I-V char. VCELL_H VCELL_L (Hit) () Hit b 1. S + b 2. S b 1 b V CELL-L V CELL (0.35V) V CELL-H BL BL Nonvolatile storage Comparison circuit 20 0 PMOS load VCELL [V] Slide 7

9 Mechanism of ML voltage keeper Minimum voltage detection Match-line (ML) V ML OUT ML voltage keeper Comp. Circuit V CELL Comp. Circuit 1-bit cell If Full-bit Hit V ML : H If V ML : L ML voltage keeper Comp. Circuit V ML is almost the same as minimum voltage of each V CELL. V CELL = V CELL_H (Hit) V CELL_L () Easy to sense Slide 8

10 Self Match-Line Discharge Control in Word Circuit H Full-bit Hit V ML > V CELL_H H H ML is precharged to V DD. ML GND H V ML > V CELL_H H M ML GND V ML = V CELL_H ML GND V ML = V CELL_H M ML GND V ML V DD V CELL_H V CELL_L Slight degradation Hit ML voltage swing Time V ML.. V CELL_L ML GND Slide 9

11 Match-Line Voltage Swing 1-bit miss detection (worst case) Reversed Hit Hit current Hit VCELL-H VCELL-H VCELL-H I Diode Word length Current into a miss cell (exponential scale) Reversed current from hit cells (linear scale) V ML V ML ML voltage keeper (Weak inversion) V CELL-L V CELL-L logarithmic scale V CELL-H VML-L VML-H V ML Sufficient ML voltage swing can be obtained even in longer word circuit. Slide 10

12 Fabricated NV-TCAM Test Chip Column Dec µm 3.36 µm Fabricated TCAM cell 226 µm Row Dec. 2-kb TCAM cell array Sense Amp. Process Cell structure MTJ size 90 nm 1P5M CMOS/MTJ 6T-2MTJ 100 nm x 200 nm Cell size µm µm Array configuration Match delay 32bits x 64words 0.29 ns Supply voltage 1.2 V Slide 11

13 Match-Line Voltage Swings (Simulated and Measured) Match-line voltage swing [V] MRR=400% MRR=250% MRR=172% (Typical) MRR=172% (10% Vth, 10% MRR) MRR=100% Measured V ML : 0.23V@32bits Criterion for sufficient voltage swing Logarithmically degraded Estimated V ML : 0.19V@144bits Easy to detect Word length [bit] Sufficient match-line voltage swing is obtained. Slide 12

14 Chip Measurements RMTJ [kω] R AP R P MRR = x 100 R P = 172% R AP = 3.0 kω R P = 1.1 kω I MTJ [µa] Voltages CLK SL Input key OUT P E P E 1 0 Hit Time P : Precharge E : Evaluate 100ns 1.2V Basic behaviors of the fabricated MTJ device and NV-TCAM have been successfully confirmed. Slide 13

15 Measured Waveforms of Instant-ON/OFF Voltages V DD Power OFF Power OFF Power OFF Power OFF 1.2V Power OFF 1µs CLK SL Input key OUT The same The same 1 output 1 0 output 0 Hit Hit Time Instant ON/OFF of the fabricated chip has been successfully confirmed. Slide 14

16 Outline Background & Purpose 6T-2MTJ-Based NV-TCAM Cell and Test Chip Fabrication Design Example of Low-Power NV-TCAM Using Three-Level Segmented Match-Line Scheme Conclusions Slide 15

17 Approach for Low-Power NV-TCAM Three-Level Segmented Match-Line Scheme 1st segment 2nd segment 3rd segment Hit Disabled Disabled Disabled cell Hit cell If current segment is, then next segment is disabled. Decreased activity rate Low-Power Slide 16

18 Image of Cell Array Activity m-word x n-bit cell array i bits j bits n - i - j bits Hit probability of cell (p): 2/3 (using masked bit) 1/2 (not using masked bit) p i m (1 - p i )m (Active) Hit (Active) Disabled (Inactive) (Active) Hit (Active) Most words are Disabled (Inactive) Enabled (Active) Ultra Low Activity Hit Slide 17

19 Cell Activity Rate Activity rate of cells [%] Minimum activity 1st 3-bit / 2nd 7-bit segmentation % Word length of 1st segment [bit] Word length of 2nd segment [bit] Slide 18

20 Performance Evaluations Array structure 144-bit x 256-word Cell activity [%] 2.8 Search energy [fj/bit/search] 1.04 (Comparable to CMOS-based TCAM within a few fj/bit/search) Standby power [W] Sleep mode Search mode 0 (@Power-OFF) Negligible (@2.8% activity) HSPICE simulation under a 90nm CMOS Low-standby-power nonvolatile TCAM is successfully realized under comparable search energy with CMOS-based one. Slide 19

21 Outline Background & Purpose 6T-2MTJ-Based NV-TCAM Cell and Test Chip Fabrication Design Example of Low-Power NV-TCAM Using Three-Level Segmented Match-Line Scheme Conclusions Slide 20

22 Conclusions We have proposed and demonstrated 6T-2MTJ-based fully-parallel NV-TCAM. Cell Circuit Techniques: - Fewest transistor counts with nonvolatility - Bit-parallel equality-search capability in a long word based on 1-transistor ML voltage keeper array Word Circuit Techniques: - Eliminate wasted cell activation based on three-level segmented match-line scheme Negligible standby power under comparable search energy with CMOS-based TCAM Slide 21

High-Throughput Low-Energy Content-Addressable Memory Based on Self-Timed Overlapped Search Mechanism

High-Throughput Low-Energy Content-Addressable Memory Based on Self-Timed Overlapped Search Mechanism 18 th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC), May 7-9, 2012, Copenhagen! High-Throughput Low-Energy Content-Addressable Memory Based on Self-Timed Overlapped Search Mechanism

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Variation-tolerant Non-volatile Ternary Content Addressable Memory with Magnetic Tunnel Junction

Variation-tolerant Non-volatile Ternary Content Addressable Memory with Magnetic Tunnel Junction JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.458 ISSN(Online) 2233-4866 Variation-tolerant Non-volatile Ternary

More information

Reducing Energy in a Ternary Cam Using Charge Sharing Technique

Reducing Energy in a Ternary Cam Using Charge Sharing Technique Reducing Energy in a Ternary Cam Using Charge Sharing Technique Shilpa.C, Siddalingappa.C.Biradar P.G. Student, Dept. of E&C, Don Bosco Institute of Technology, Bangalore, Karnataka, India Assistant Professor,

More information

90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell

90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell 90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell Kouichi Kanda 1, Hattori Sadaaki 2, and Takayasu Sakurai 3 1 Fujitsu Laboratories Ltd. 2 KDDI corporation 3 Institute of Industrial Science,

More information

ISSCC 2001 / SESSION 11 / SRAM / 11.4

ISSCC 2001 / SESSION 11 / SRAM / 11.4 ISSCC 2001 / SESSION 11 / SRAM / 11.4 11.4 Abnormal Leakage Suppression (ALS) Scheme for Low Standby Current SRAMs Kouichi Kanda, Nguyen Duc Minh 1, Hiroshi Kawaguchi and Takayasu Sakurai University of

More information

Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM

Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM [ 2007 International Conference on VLSI Design ] Jan. 9, 2007 Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM Masaaki Iijima, Masayuki Kitamura, Masahiro Numa, *Akira

More information

THE content-addressable memory (CAM) is one of the most

THE content-addressable memory (CAM) is one of the most 254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 1, JANUARY 2005 A 0.7-fJ/Bit/Search 2.2-ns Search Time Hybrid-Type TCAM Architecture Sungdae Choi, Kyomin Sohn, and Hoi-Jun Yoo Abstract This paper

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Low Power TCAM Design And Simulation Rahul Nigam Department of electronics and communication, NIT, Calicut- India.

Low Power TCAM Design And Simulation Rahul Nigam Department of electronics and communication, NIT, Calicut- India. Low Power TCAM Design And Simulation Rahul Nigam Department of electronics and communication, NIT, Calicut- India. Abstract This paper presents the approach to reduce power consumption in a ternary content

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email:

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30 EE 330 Lecture 44 igital Circuits Ring Oscillators Sequential Logic Array Logic Memory Arrays Final: Tuesday May 2 7:30-9:30 Review from Last Time ynamic Logic Basic ynamic Logic Gate V F A n PN Any of

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm Journal of Computer and Communications, 2015, 3, 164-168 Published Online November 2015 in SciRes. http://www.scirp.org/journal/jcc http://dx.doi.org/10.4236/jcc.2015.311026 Design and Implement of Low

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic EE 330 Lecture 44 Digital Circuits Dynamic Logic Circuits Course Evaluation Reminder - All Electronic Digital Building Blocks Shift Registers Sequential Logic Shift Registers (stack) Array Logic Memory

More information

A Ternary Content Addressable Cell Using a Single Phase Change Memory (PCM)

A Ternary Content Addressable Cell Using a Single Phase Change Memory (PCM) A Ternary Content Addressable Cell Using a Single Phase Change Memory (PCM) Pilin Junsangsri, Fabrizio Lombardi Department of Electrical and Computer Engineering Northeastern University Boston, MA 02115,

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Memory (Part 1) RAM memory

Memory (Part 1) RAM memory Budapest University of Technology and Economics Department of Electron Devices Technology of IT Devices Lecture 7 Memory (Part 1) RAM memory Semiconductor memory Memory Overview MOS transistor recap and

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells

Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells Ke Chen, Jie Han and Fabrizio Lombardi Abstract This paper proposes two non-volatile content addressable memory (CAM)

More information

EEC 118 Lecture #12: Dynamic Logic

EEC 118 Lecture #12: Dynamic Logic EEC 118 Lecture #12: Dynamic Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Today: Alternative MOS Logic Styles Dynamic MOS Logic Circuits: Rabaey

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER Sandeep kumar 1, Charanjeet Singh 2 1,2 ECE Department, DCRUST Murthal, Haryana Abstract Performance of sense amplifier has considerable impact on the speed

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits

Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits Tae-Hyoung Kim, Randy Persaud and Chris H. Kim Department of Electrical and Computer Engineering

More information

A PCM-based TCAM cell using NDR

A PCM-based TCAM cell using NDR A PCM-based TCAM cell using NDR Hao Wu, Fabrizio Lombardi Department of Electrical and Computer Engineering Northeastern University Boston, MA USA 02115 wu.hao1@husky.neu.edu, lombardi@ece.neu.edu Jie

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

A Study of The Advancement of CMOS ALU & Full Adder Circuit Design For Modern Design

A Study of The Advancement of CMOS ALU & Full Adder Circuit Design For Modern Design A Study of The Advancement of & Full Adder Circuit Design F Modern Design Bruce Hardy BR759875 Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362 Abstract

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

RTN Induced Frequency Shift Measurements Using a Ring Oscillator Based Circuit

RTN Induced Frequency Shift Measurements Using a Ring Oscillator Based Circuit RTN Induced Frequency Shift Measurements Using a Ring Oscillator Based Circuit Qianying Tang 1, Xiaofei Wang 1, John Keane 2, and Chris H. Kim 1 1 University of Minnesota, Minneapolis, MN 2 Intel Corporation,

More information

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis Opportunities and Challenges in Ultra Low Voltage CMOS Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless sensors RFID

More information

Design of a Hybrid Non-Volatile SRAM Cell for Concurrent SEU Detection and Correction

Design of a Hybrid Non-Volatile SRAM Cell for Concurrent SEU Detection and Correction > REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT)

More information

Technical Paper FA 10.3

Technical Paper FA 10.3 Technical Paper A 0.9V 150MHz 10mW 4mm 2 2-D Discrete Cosine Transform Core Processor with Variable-Threshold-Voltage Scheme Tadahiro Kuroda, Tetsuya Fujita, Shinji Mita, Tetsu Nagamatu, Shinichi Yoshioka,

More information

Combinational Logic Gates in CMOS

Combinational Logic Gates in CMOS Combinational Logic Gates in CMOS References: dapted from: Digital Integrated Circuits: Design Perspective, J. Rabaey UC Principles of CMOS VLSI Design: Systems Perspective, 2nd Ed., N. H. E. Weste and

More information

A Three-Port Adiabatic Register File Suitable for Embedded Applications

A Three-Port Adiabatic Register File Suitable for Embedded Applications A Three-Port Adiabatic Register File Suitable for Embedded Applications Stephen Avery University of New South Wales s.avery@computer.org Marwan Jabri University of Sydney marwan@sedal.usyd.edu.au Abstract

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 06-15 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org High-Performance of Domino Logic

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power

TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power Invited Paper TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power Eun Chu Oh and Paul D. Franzon ECE Dept., North Carolina State University, 2410 Campus Shore Drive, Raleigh, NC, USA

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

A Differential 2R Crosspoint RRAM Array with Zero Standby Current

A Differential 2R Crosspoint RRAM Array with Zero Standby Current 1 A Differential 2R Crosspoint RRAM Array with Zero Standby Current Pi-Feng Chiu, Student Member, IEEE, and Borivoje Nikolić, Senior Member, IEEE Department of Electrical Engineering and Computer Sciences,

More information

ACONTENT-ADDRESSABLE memory (CAM) is a

ACONTENT-ADDRESSABLE memory (CAM) is a 1972 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 9, SEPTEMBER 2008 Match Sensing Using Match-Line Stability in Content-Addressable Memories (CAM) Oleksiy Tyshchenko and Ali Sheikholeslami, Senior

More information

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Narendra Yadav 1, Vipin Kumar Gupta 2 1 Department of Electronics and Communication, Gyan Vihar University, Jaipur, Rajasthan,

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 44 Digital Circuits Other Logic Styles Dynamic Logic Circuits Course Evaluation Reminder - ll Electronic http://bit.ly/isustudentevals Review from Last Time Power Dissipation in Logic Circuits

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology Performance Analysis of Novel Domino Gate in Sub 45nm CMOS Technology AMIT KUMAR PANDEY, RAM AWADH MISHRA, RAJENDRA KUMAR NAGARIA Department of Electronics and Communication Engineering MNNIT Allahabad-211004

More information

An Energy Efficient Match-Line Sensing Scheme for High-Speed and Highly-Reliable Ternary Content Addressable Memory

An Energy Efficient Match-Line Sensing Scheme for High-Speed and Highly-Reliable Ternary Content Addressable Memory An Energy Efficient Match-Line Sensing Scheme for High-Speed and Highly-Reliable Ternary Content Addressable Memory Zuberahmed Punekar 1, Dr B S Nagabhushana 2 Student, M.Tech [Electronics], Dept. Of Electronics

More information

Leakage Power Reduction in CMOS VLSI

Leakage Power Reduction in CMOS VLSI Leakage Power Reduction in CMOS VLSI 1 Subrat Mahalik Department of ECE, Mallareddy Engineering College (Autonomous), Hyderabad, India 2 M. Bhanu Teja Department of ECE, Mallareddy Engineering College

More information

LOW LEAKAGE CNTFET FULL ADDERS

LOW LEAKAGE CNTFET FULL ADDERS LOW LEAKAGE CNTFET FULL ADDERS Rajendra Prasad Somineni srprasad447@gmail.com Y Padma Sai S Naga Leela Abstract As the technology scales down to 32nm or below, the leakage power starts dominating the total

More information

Design of a high speed and low power Sense Amplifier

Design of a high speed and low power Sense Amplifier Design of a high speed and low power Sense Amplifier A dissertation submitted in partial fulfillment of the requirement for the award of degree of Master of Technology in VLSI Design & CAD Submitted by

More information

SRAM Read Performance Degradation under Asymmetric NBTI and PBTI Stress: Characterization Vehicle and Statistical Aging

SRAM Read Performance Degradation under Asymmetric NBTI and PBTI Stress: Characterization Vehicle and Statistical Aging SRAM Read Performance Degradation under Asymmetric NBTI and PBTI Stress: Characterization Vehicle and Statistical Aging Xiaofei Wang,2 Weichao Xu 2 and Chris H. Kim 2 Intel Corporation, Hillsboro 2 University

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

Optimization of power in different circuits using MTCMOS Technique

Optimization of power in different circuits using MTCMOS Technique Optimization of power in different circuits using MTCMOS Technique 1 G.Raghu Nandan Reddy, 2 T.V. Ananthalakshmi Department of ECE, SRM University Chennai. 1 Raghunandhan424@gmail.com, 2 ananthalakshmi.tv@ktr.srmuniv.ac.in

More information

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Power and Energy Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu The Chip is HOT Power consumption increases

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies A High Performance IDDQ Testable Cache for Scaled CMOS Technologies Swarup Bhunia, Hai Li and Kaushik Roy Purdue University, 1285 EE Building, West Lafayette, IN 4796 {bhunias, hl, kaushik}@ecn.purdue.edu

More information

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI A.Karthik 1, K.Manasa 2 Assistant Professor, Department of Electronics and Communication Engineering, Narsimha Reddy Engineering

More information

Comparison and Performance Analysis of Various Low Power Digital design Techniques

Comparison and Performance Analysis of Various Low Power Digital design Techniques Comparison and Performance Analysis of Various Low Power Digital design Techniques a Farah Buch, b Anil Bhardwaj, c Sumeet Gupta and d Gaurav Sharma a Electronics and Communication Department, Shri Mata

More information

Design of an efficient NOR Content Addressable Memory Bit cell Using memristor and MT-CMOS in FinFET Technology

Design of an efficient NOR Content Addressable Memory Bit cell Using memristor and MT-CMOS in FinFET Technology International Journal of Innovation and Scientific Research ISSN 2351-8014 Vol. 22 No. 2 Apr. 2016, pp. 364-374 2015 Innovative Space of Scientific Research Journals http://www.ijisr.issr-journals.org/

More information

DESIGN AND STATISTICAL ANALYSIS (MONTECARLO) OF LOW-POWER AND HIGH STABLE PROPOSED SRAM CELL STRUCTURE

DESIGN AND STATISTICAL ANALYSIS (MONTECARLO) OF LOW-POWER AND HIGH STABLE PROPOSED SRAM CELL STRUCTURE DESIGN AND STATISTICAL ANALYSIS (MONTECARLO) OF LOW-POWER AND HIGH STABLE PROPOSED SRAM CELL STRUCTURE A Thesis Submitted in Partial Fulfilment of the Requirements for the Award of the Degree of Master

More information

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-30nm CMOS Technologies Bhaskar Chatterjee, Manoj Sachdev Ram Krishnamurthy * Department of Electrical and Computer

More information

Ultralow-Power and Robust Embedded Memory for Bioimplantable Microsystems

Ultralow-Power and Robust Embedded Memory for Bioimplantable Microsystems 2013 26th International Conference on VLSI Design and the 12th International Conference on Embedded Systems Ultralow-Power and Robust Embedded Memory for Bioimplantable Microsystems Maryam S. Hashemian

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Two New Low Power High Performance Full Adders with Minimum Gates

Two New Low Power High Performance Full Adders with Minimum Gates Two New Low Power High Performance Full Adders with Minimum Gates M.Hosseinghadiry, H. Mohammadi, M.Nadisenejani Abstract with increasing circuits complexity and demand to use portable devices, power consumption

More information

Two New Low Power High Performance Full Adders with Minimum Gates

Two New Low Power High Performance Full Adders with Minimum Gates Two New Low Power High Performance Full Adders with Minimum Gates M.Hosseinghadiry, H. Mohammadi, M.Nadisenejani Abstract with increasing circuits complexity and demand to use portable devices, power consumption

More information

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications ABSTRACT Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications Abhishek Sharma,Gunakesh Sharma,Shipra ishra.tech. Embedded system & VLSI Design NIT,Gwalior.P. India

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2 Minimization of Leakage Current of 6T SRAM using Optimal Technology Sumit Kumar Srivastava 1, Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology, Uttar Pradesh Technical

More information

A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference

A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference Yong-Sik Park, Gyu-Hyun Kil, and Yun-Heub Song a) Department of Electronics and Computer Engineering,

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information