Variation-tolerant Non-volatile Ternary Content Addressable Memory with Magnetic Tunnel Junction

Size: px
Start display at page:

Download "Variation-tolerant Non-volatile Ternary Content Addressable Memory with Magnetic Tunnel Junction"

Transcription

1 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) ISSN(Online) Variation-tolerant Non-volatile Ternary Content Addressable Memory with Magnetic Tunnel Junction Dooho Cho 1, Kyungmin Kim 2, and Changsik Yoo 2,* Abstract A magnetic tunnel junction (MTJ) based ternary content addressable memory (TCAM) is proposed which provides non-volatility. A unit cell of the TCAM has two MTJ s and transistors, which allows the realization of TCAM in a small area. The equivalent resistance of parallel connected multiple unit cells is compared with the equivalent resistance of parallel connected multiple reference resistance, which provides the averaging effect of the variations of device characteristics. This averaging effect renders the proposed TCAM to be variationtolerant. Using 65-nm CMOS model parameters, the operation of the proposed TCAM has been evaluated including the Monte-Carlo simulated variations of the device characteristics, the supply voltage variation, and the temperature variation. With the tunneling magnetoresistance ratio (TMR) of 1.5 and all the variations being included, the error probability of the search operation is found to be smaller than %. Index Terms Ternary content addressable memory (TCAM), content addressable memory (CAM), magnetic tunnel junction (MTJ) Manuscript received Mar. 6, 2017; accepted Jun. 12, 2017 This work was supported by the Ministry of Trade, Industry, and Energy (MOTIE) of Korea and the Korean Semiconductor Research Consortium through the Future Semiconductor Device Technology Development Program under Grant Department of Electronics and Computer Engineering, Hanyang University, Seoul 04763, Korea and also with the Memory Division of Samsung Electronics, Korea 2 Department of Electronics and Computer Engineering, Hanyang University, Seoul 04763, Korea csyoo@hanyang.ac.kr I. INTRODUCTION Ternary content addressable memory (TCAM) and content addressable memory (CAM) compare input data with stored values and return the address in which the matched data are stored [1-3]. TCAM can be considered as a superset of CAM because it can process don t-care input. For high-speed data searching, data pattern processing, and address control of network routers, the operating speed of TCAM/CAM is desired to be as high as possible. Static random access memory (SRAM) based TCAM/CAM are widely used in which at least 12 transistors are required [4]. Albeit tolerant to the variations of process, supply voltage, and temperature (PVT), the SRAM based TCAM/CAM loses its content if the power is off. Therefore, either standby power has to be dissipated to keep the data from being lost or additional non-volatile memory is required. To avoid the above mentioned problem, magnetic tunnel junction (MTJ) can be utilized to provide the non-volatileness to TCAM/CAM. In [5, 6], MTJ s are employed to realize a SRAM-based TCAM/CAM. While a SRAM-based TCAM/CAM requires large number of transistors and MTJ s, less number of transistors and MTJ s are required if both the data storage and searching operation of TCAM/CAM are performed by MTJ s as proposed in [7-11]. Although the TCAM proposed in [8] requires the smallest number of devices (four transistors and two MTJ s) per cell, the sensing margin is very small. In these non-sram-based TCAM/CAMs, the critical concern is how to ensure the tolerance to the variation of MTJ resistance with minimum number of transistors and MTJ s.

2 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, R AP R R1 V CELL R CELL R REF R R2 M CELL M REF R R3 R P R P R AP V CELL R CELL M CELL R MTJ1 V CELL R MTJ2 (a) R CELL M CELL M REF (b) R REF (c) M REF R REF R R1 R R2 R R3 Fig. 1. Proposed TCAM cell with two MTJ s during the search operation when the stored cell state is (a) equal (R CELL > R REF ), (b) not equal (R CELL < R REF ) to the input, (c) when the input is don t care. In this paper, a non-volatile TCAM with MTJ is proposed which provides excellent tolerance to the variation of MTJ resistance. Three transistors and two MTJ s are required per cell and therefore the silicon area can also be minimized. The architecture and circuit realization of the proposed non-volatile TCAM with MTJ are explained in the Section II and the simulation results are given in the Section III. Finally, the paper is concluded in the Section IV. II. NON-VOLATILE TCAM WITH MTJ The basic operation principle of the proposed nonvolatile TCAM with MTJ can be explained with Fig. 1. The two MTJ s are connected in series and always in different state, that is, if one of the two MTJ s is in the low-resistance state (R P ; parallel magnetization), the other R R1 R R2 R R3 Fig. 2. Unit cell of the proposed TCAM with two MTJ s and three transistors. MTJ is in the high-resistance state (R AP ; anti-parallel magnetization). These two MTJ s divides the supply voltage V DD to generate the cell voltage V CELL which is either higher or lower than the reference voltage. The on-resistance R CELL of the cell transistor M CELL proportional to the cell voltage V CELL is compared with that of the reference transistor M REF which is proportional to the reference voltage =V DD (R R2 +R R3 )/(R R1 +R R2 + R R3 ). If the MTJ connected to V DD is in the R AP state and the other one connected to ground is in the R P state, the cell voltage V CELL =V DD R P /(R AP +R P ) is lower than and the cell resistance R CELL is larger than the reference resistance R REF as shown in Fig. 1(a). This tells the stored cell state matches the input. If the MTJ connected to V DD is in the R P state and the other one connected to ground is in the R AP state, the cell voltage V CELL = V DD R AP /(R AP +R P ) is larger than and R CELL is smaller than R REF as shown in Fig. 1(b). This tells the stored cell state is not equal to the input. When the input is don t care (x), the cell resistance R CELL has to be larger than the reference resistance R REF regardless of the MTJ state of the cell. For this, the MTJ s are all driven to the same voltage =V DD R R3 /(R R1 +R R2 +R R3 ) as shown in Fig. 1(c) which is always lower than. This simple structure can be applied to implement the proposed TCAM unit cell with two MTJ s and three transistors as shown in Fig. 2. As explained before the two MTJ s R MTJ1 and R MTJ2 are always in the opposite states. The selection transistor M SEL is turned-on only for the write operation. The bit-line-bar is driven to the desired write voltage and the reference voltage, respectively for the write and search operation. 1. Write Operation During the write operation, the word-line is driven

3 460 DOOHO CHO et al : VARIATION-TOLERANT NON-VOLATILE TERNARY CONTENT ADDRESSABLE MEMORY WITH Fig. 3. Match operation illustrated for a row with N unit cells when the input for the match operation is 1 0x. If the stored states match the input, the output RC OUTB of the resistance is 0. to V DD to turn on the selection transistor M SEL in the selected row. To write 0 ( 1 ), the bit-line-bar is driven to V DD (0-V) and the source-line SL and sourceline-bar SLB are all driven to 0-V (V DD ). Because the fixed layer of the MTJ R MTJ1 and the free layer of the MTJ R MTJ2 are shorted together, the directions of the current flow through the two MTJ s R MTJ1 and R MTJ2 are opposite with each other and therefore they are simultaneously programmed to have the opposite states. For the state of 1 ( 0 ), the MTJ s R MTJ1 and R MTJ2 are programmed to have the resistance R AP (R P ) and R P (R AP ), respectively. The ground-line is left floating to prevent any unwanted current flow of the transistors M CELL and M REF. 2. Search Operation Fig. 3 illustrates the search operation for a row with N unit cells where the input for the search operation is 1 0x. During the search operation, the word-line and the ground-line are all driven to 0-V and the bitline-bar is connected to which is generated by a simple resistive voltage divider. When the input for a cell is 1 as for CELL[N-1] in Fig. 3, the source-line SL and source-line-bar SLB are driven to V DD and 0-V, respectively. If the stored value is 1 (match), the resistance of the MTJ s R MTJ1 and R MTJ2 are R AP and R P, respectively and the cell resistance R CELL is R HIGH which is larger than the reference resistance R REF. If the stored value is 0 (mismatch), R CELL is R LOW which is smaller than R REF. When the input for a cell is 0 as for CELL[1] in Fig. 3, SL and SLB are driven to 0-V and V DD, respectively. If the stored value is 0 (match), R MTJ1 and R MTJ2 are R P and R AP, respectively and R CELL is R HIGH > R REF. If the stored value is 1 (mismatch), R CELL is R LOW < R REF. When the input for a cell is don t care (x) as for CELL[0] in Fig. 3, both SL and SLB are driven to. Then, R CELL of CELL[0] is R HIGH that is greater than R REF and therefore the don t care (x) cell is recognized as match regardless of the stored state. The resistance R seen at the local-match-line () input of the resistance is the parallel equivalent resistance of all the R CELL s of the N unit cells. The resistance R seen at the reference-line () input of the resistance is the parallel equivalent resistance of all the R REF s of the N unit cells. If the input matches the stored state in the row, R and R are R HIGH /N and R REF /N, respectively and therefore R is larger than R. When the evaluation signal becomes 1, the output RC OUTB of the resistance becomes 0 indicating the matching of the input with the stored state in the row. The cells in the same column are all connected to the same SL and SLB and therefore it is possible to search the matching cell at one time. In order to see how to tell the mismatch between the stored state and the input, let s assume only one input bit does not match the stored state in the corresponding cell, which is the worst case for the mismatch detection. Then R is given as; In order for this mismatch case to be distinguishable from the match case, the resistance given in (1) should be smaller than R REF /N, that is, the following relationship has to be satisfied. (1)

4 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, [63] RCOUTB RCOUTB ML[63] MPRE [0] RCOUTB RCOUTB ML[0] SEN SL[0] [0] [0] SLB[0] SL[7] [7] [7] SLB[7] SL[24] [24] [24] SLB[24] SL[31] [31] [31] SLB[31] Fig. 4. Simplified architecture of a TCAM array with 64-rows and 32-columns where each row has four sub-rows with eight cells. (2) Write operation Search operation If the relationship in the Eq. (2) is satisfied, R is smaller than R and therefore the pull-down strength of the transistor M 1 of the resistance is stronger than that of the transistor M 2 of the resistance when the input does not match with the stored state of the row. Then, the output RC OUTB of the resistance becomes 1 when becomes 1. SEN SL SLB high-z 3. TCAM Array RC OUTB ML Because the parallel-connected cell resistance of all the cells in a row is compared with the reference resistance, the sensing margin may become very small as the number of cells in a row increases as is clear from the Eq. (2). According to the simulation results, the maximum number of cell in a row is limited to be eight to have sufficient sensing margin. If more cells are required to be placed in a row, a row has to be divided into multiple sub-rows. Fig. 4 shows an exemplar TCAM array with 64-rows and 32-columns. Each row has four sub-rows and a subrow has eight TCAM cells to have sufficient sensing margin as commented above. In a sub-row, the output RC OUTB of the resistance is applied to the gate of the transistor M 1. By short-circuiting the drain nodes Input SL/SLB Write operation "0" "1" V DD 0-V V DD 0-V hi-z hi-z 0-V/V DD Search operation "0" "1" V DD/0-V 0-V 0-V Fig. 5. Operation timing and the voltage levels of the control signals for the write and search operations. of the transistors M 1 of all the sub-rows, we can perform the wired-or operation of the resistance outputs. The operation timings and the voltage levels of the control signals for the write and search operations are summarized in Fig. 5. During the search operation, the match-line ML of a row is first pre-charged by the transistors M PRE to V DD. After the pre-charging of the match-line ML is completed, "x" 0-V

5 462 DOOHO CHO et al : VARIATION-TOLERANT NON-VOLATILE TERNARY CONTENT ADDRESSABLE MEMORY WITH (a) (a) (b) (b) (c) Fig. 6. Distribution of R and R when (a) V DD =1.26-V, temperature=-40- o C, (b) V DD =1.2-V, temperature=25- o C, (c) V DD =1.14-V, temperature=90- o C. the evaluation signal is pulled-up to V DD to enable the resistance s of all the sub-rows. After the evaluations of all the resistance s are completed, the match-line ML of the corresponding row is discharged to 0-V by the transistor M 1 if any one of the resistance outputs RC OUTB is 1, which indicates the mismatch of the stored state with the input. When the stored state matches with the input, the outputs RC OUTB of all the resistance outputs of the sub-rows are 0 and the match-line ML stays at V DD. III. SIMULATION RESULTS AND DISCUSSION The TCAM array with 64-rows and 32-columns shown in Fig. 4 has been simulated to verify the operation of the Fig. 7. Distribution of R CELL and R REF when the input and the stored state (a) matches, (b) do not match. proposed non-volatile TCAM with MTJ s. The MTJ is modeled in the Verilog-A with the model described in [12] and the nominal value of R P is 3.97-kW while the tunneling magnetoresistance ratio (TMR) is 1.5. The MTJ resistance is allowed to vary from its nominal value with 4-% standard deviation. The resistors R R1 and R R2 +R R3 generating the reference voltage have 7:10 ratio in their resistance values. A 65-nm CMOS process is assumed for the transistors and the supply voltage V DD can vary from 1.14-V to 1.26-V with its nominal value of 1.2-V. The junction temperature is also variable from o C to 90- o C. With the above condition, a Monte-Carlo simulation has been performed to see the distributions of R and R. When all the stored bits match with the input and when only one bit does not match with the input, the distributions of R and R are shown in Fig. 6 for the three cases, that is, V DD = 1.26-V, temperature = -40- o C; (HV/LT), V DD = 1.2-V, temperature = 25- o C; (NV/RT), V DD = 1.14-V, temperature = 90- o C (LV/HT). From the distribution, the error probability is found to be %, %, and %, respectively for the HV/LT, NV/RT, and LV/HT cases. For single TCAM cell, the distributions of R CELL and R REF are plotted in Fig. 7(a) and (b) when the input and the stored state matches and do not match, respectively. As can be seen in the figure, the distributions R CELL and R REF have large overlap when the input and the stored

6 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, Table 1. Comparison with other MTJ-based TCAM s [7] [8] [11] This work Cell arch. 6T-2MTJ 4T-2MTJ 10T-4MTJ 4.875T-2MTJ Process [nm] Supply [V] Cell area [µm 2 ] t search [ns] E search/bit [fj] operation, the parallel connected cell resistances are compared with the reference resistance, which averages out the variation and therefore the TCAM array shows excellent robustness to the variations of the device characteristics. Fig. 8. Simulated waveforms of the search operation of the TCAM array in Fig. 4. state matches, meaning large probability of error. Despite this, the proposed TCAM shows the excellent immunity to the variations due to the averaging effect. As explained in the Section II, R and R are the equivalent resistance of the parallel connected R CELL and R REF of eight cells in a sub-row, respectively. Therefore, the variations of individual R CELL and R REF are averaged out when they appear in R and R. Including the Monte-Carlo simulated variations of the device parameters, the search operation of the TCAM array shown in Fig. 4 has been simulated. The simulated waveforms for three consecutive search operations with the input , x , and 1xxxx..xxxxx are shown in Fig. 8. The stored states of the 63-rd and 62-nd rows are and , respectively. Therefore, the match line output ML[63] is 1 for only the second search operation while the match line output ML[62] is 1 for all the three search operations. The proposed TCAM is compared with other MTJbased non-volatile TCAM s in Table 1. While the proposed TCAM has the smallest area per cell, the power consumption is relatively large because of the DC current path formed by the two MTJ s of each TCAM cell during the search operation. IV. CONCLUSIONS A MTJ-based non-volatile TCAM is proposed which provides compact unit cell architecture. For the search ACKNOEDGMENTS The CAD tools were provided by the IC Design Education Center (IDEC), Korea. REFERENCES [1] M. Meribout, T. Ogura, and M. Nakanishi, On using the CAM concept for parametric curve extraction, Image Processing, IEEE Transactions on, Vol.9, No.12, pp , Dec., [2] M. Nakanishi and T. Ogura, Real-time CAMbased Hough transform and its performance evaluation, Pattern Recognition, Proceedings, 13 th IEEE International Conference on, Vol.2, pp , [3] V. C. Ravikumar, R. N. Mahapatra and L. N. Bhuyan, EaseCAM: An Energy and Storage Efficient TCAM-based Router Architecture for IP Lookup, Computers, IEEE Transactions on, Vol.54, No.5, pp , May, [4] K. Pagiamtzis and A. Sheikholeslami, Content- Addressable Memory (CAM) Circuits and Architectures: A Tutorial and Survey, Solid-State Circuits, IEEE Journal of, Vol.41, No.3, pp , Mar., [5] Y. Zhang, W. Zhao, J. O. Klein, D. Ravelsona and C. Chappert, Ultra-High Density Content Addressable Memory Based on Current Induced Domain Wall Motion in Magnetic Track, Magnetics, IEEE Transactions on, Vol.48, No.11, pp , Nov., 2012.

7 464 [6] [7] [8] [9] [10] [11] [12] [13] [14] DOOHO CHO et al : VARIATION-TOLERANT NON-VOLATILE TERNARY CONTENT ADDRESSABLE MEMORY WITH M. K. Gupta and M. Hasan, Design of High-Speed Energy-Efficient Masking Error Immune PentaMTJ-Based TCAM, Magnetics, IEEE Transactions on, Vol.51, No.2, Feb., S. Matsunaga, et al., Fully Parallel 6T-2MTJ nonvolatile TCAM with single-transistor-based self match-line discharge control, VLSI Circuits, IEEE Symposium 2011, Digest of Technical Papers, pp , S. Matsunaga, et al., A 3.14 um2 4T-2MTJ-cell fully parallel TCAM based on nonvolatile logic-inmemory architecture, VLSI Circuits, IEEE Symposium 2012, Digest of Technical Papers, pp , T. Hanyu, et al., Spintronics-Based Nonvolatile Logic-in-Memory Architecture Towards an UltraLow-Power and Highly Reliable VLSI Computing Paradigm, Design, Automation & Test, IEEE 2015 Europe Conference & Exhibition, pp , M. K. Gupta and M. Hasan, Robust High Speed Ternary Magnetic Content Addressable Memory, Electron Devices, IEEE Transactions on, Vol.62, No.4, pp , Apr., B. Song, T. Na, J. P. Kim, S. H. Kang and S. O. Jung, A 10T-4MTJ Nonvolatile Ternary CAM Cell for Reliable Search Operation and Compact Area, Circuits and Systems II: Express Briefs, IEEE Transactions on, K. Kim and C. Yoo, Macro-model of magnetic tunnel junction for STT-MRAM including dynamic behavior, Semiconductor Technology and Science, IEIE Journal of, Vol.14, No.6, pp , Dec., I. Arsovski and R. Wistort, Self-referenced sense amplifier for across-chip-variation immune sensing in high-performance contentaddressable memories, Proceedings, IEEE 2006 Custom Integrated Circuits Conference, pp , I. Hayashi, et al., A 250-MHz 18-Mb Full Ternary CAM With Low-Voltage Matchline Sensing Scheme in 650-nm CMOS, Solid-State Circuits, IEEE Journal of, Vol.48, No.11, pp , Nov., Dooho Cho was born in Seoul, Korea, on He received the B.S. degree in the Department of Electronics and Computer Engineering from Hanyang University, Korea, in He joined the Memory Division of Samsung Electronics in He is currently pursuing the M.S. degree at Hanyang University, Korea. His interests include memory devices and mixed-mode CMOS circuits. Kyungmin Kim received the B.S, degrees in electrical and computer engineering from Hanyang University, Seoul, Korea in He is currently working towards the Ph.D. degree at the same university. His research interests include a STTMRAM circuit design and mixed- mode CMOS circuits design. Changsik Yoo received the B.S. (Honors), M.S., and Ph.D. degrees from Seoul National University, Seoul, Korea, in 1992, 1994, and 1998, respectively, all in electronic engineering. From 1998 to 1999, he was with the Integrated Systems Laboratory (IIS), Swiss Federal Institute of Technology (ETH), Zurich, Switzerland, as a Research Staff. From 1998 to 2002, he was with Samsung Electronics, Hwasung, Korea, as a Senior DRAM Design Engineer. Since 2002, he has been a Professor of Hanyang University, Seoul, Korea. His main research interest is the mixed-mode CMOS integrated circuit design.

STT-MRAM Read-circuit with Improved Offset Cancellation

STT-MRAM Read-circuit with Improved Offset Cancellation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.347 ISSN(Online) 2233-4866 STT-MRAM Read-circuit with Improved Offset

More information

A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference

A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference Yong-Sik Park, Gyu-Hyun Kil, and Yun-Heub Song a) Department of Electronics and Computer Engineering,

More information

Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control

Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control Shoun Matsunaga 1,2, Akira Katsumata 2, Masanori Natsui 1,2, Shunsuke Fukami 1,3, Tetsuo Endoh 1,2,4,

More information

THE content-addressable memory (CAM) is one of the most

THE content-addressable memory (CAM) is one of the most 254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 1, JANUARY 2005 A 0.7-fJ/Bit/Search 2.2-ns Search Time Hybrid-Type TCAM Architecture Sungdae Choi, Kyomin Sohn, and Hoi-Jun Yoo Abstract This paper

More information

Reducing Energy in a Ternary Cam Using Charge Sharing Technique

Reducing Energy in a Ternary Cam Using Charge Sharing Technique Reducing Energy in a Ternary Cam Using Charge Sharing Technique Shilpa.C, Siddalingappa.C.Biradar P.G. Student, Dept. of E&C, Don Bosco Institute of Technology, Bangalore, Karnataka, India Assistant Professor,

More information

A Low-Ripple Poly-Si TFT Charge Pump for Driver-Integrated LCD Panel

A Low-Ripple Poly-Si TFT Charge Pump for Driver-Integrated LCD Panel 606 EEE Transactions on Consumer Electronics, ol. 51, No. 2, MAY 2005 A Low-Ripple Poly-Si TFT Charge Pump for Driver-ntegrated LCD Panel Changsik Yoo, Member, EEE and Kyun-Lyeol Lee Abstract A low-ripple

More information

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control Sooho Cha, Chunseok Jeong, and Changsik Yoo A phase-locked loop (PLL) is described which is operable from 0.4 GHz to 1.2

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.577 ISSN(Online) 2233-4866 Low and High Performance Level-up Shifters

More information

Low Power TCAM Design And Simulation Rahul Nigam Department of electronics and communication, NIT, Calicut- India.

Low Power TCAM Design And Simulation Rahul Nigam Department of electronics and communication, NIT, Calicut- India. Low Power TCAM Design And Simulation Rahul Nigam Department of electronics and communication, NIT, Calicut- India. Abstract This paper presents the approach to reduce power consumption in a ternary content

More information

A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member, IEEE

A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member, IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member,

More information

Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells

Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells Ke Chen, Jie Han and Fabrizio Lombardi Abstract This paper proposes two non-volatile content addressable memory (CAM)

More information

High-Throughput Low-Energy Content-Addressable Memory Based on Self-Timed Overlapped Search Mechanism

High-Throughput Low-Energy Content-Addressable Memory Based on Self-Timed Overlapped Search Mechanism 18 th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC), May 7-9, 2012, Copenhagen! High-Throughput Low-Energy Content-Addressable Memory Based on Self-Timed Overlapped Search Mechanism

More information

Accurate Sub-1 V CMOS Bandgap Voltage Reference with PSRR of -118 db

Accurate Sub-1 V CMOS Bandgap Voltage Reference with PSRR of -118 db JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.4.528 ISSN(Online) 2233-4866 Accurate Sub-1 V CMOS Bandgap Voltage

More information

MAGNETORESISTIVE random access memory

MAGNETORESISTIVE random access memory 132 IEEE TRANSACTIONS ON MAGNETICS, VOL. 41, NO. 1, JANUARY 2005 A 4-Mb Toggle MRAM Based on a Novel Bit and Switching Method B. N. Engel, J. Åkerman, B. Butcher, R. W. Dave, M. DeHerrera, M. Durlam, G.

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

A Continuous-time Sigma-delta Modulator with Clock Jitter Tolerant Self-resetting Return-to-zero Feedback DAC

A Continuous-time Sigma-delta Modulator with Clock Jitter Tolerant Self-resetting Return-to-zero Feedback DAC JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.4, AUGUST, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.4.468 ISSN(Online) 2233-4866 A Continuous-time Sigma-delta Modulator

More information

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 2016 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2016.16.6.842 ISSN(Online) 2233-4866 A 82.5% Power Efficiency at 1.2 mw

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

Core Circuit Technologies for PN-Diode-Cell PRAM

Core Circuit Technologies for PN-Diode-Cell PRAM 128 HEE-BOK KANG et al : CORE CIRCUIT TECHNOLOGIES FOR PN-DIODE-CELL PRAM Core Circuit Technologies for PN-Diode-Cell PRAM Hee-Bok Kang*, Suk-Kyoung Hong*, Sung-Joo Hong*, Man Young Sung**, Bok-Gil Choi***,

More information

Design of High-Performance Intra Prediction Circuit for H.264 Video Decoder

Design of High-Performance Intra Prediction Circuit for H.264 Video Decoder JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.9, NO.4, DECEMBER, 2009 187 Design of High-Performance Intra Prediction Circuit for H.264 Video Decoder Jihye Yoo, Seonyoung Lee, and Kyeongsoon Cho

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Widely Tunable Adaptive Resolution-controlled Read-sensing Reference Current Generation for Reliable PRAM Data Read at Scaled Technologies

Widely Tunable Adaptive Resolution-controlled Read-sensing Reference Current Generation for Reliable PRAM Data Read at Scaled Technologies JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.363 ISSN(Online) 2233-4866 Widely Tunable Adaptive Resolution-controlled

More information

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.6.825 ISSN(Online) 2233-4866 An All-digital Delay-locked Loop using

More information

Memory (Part 1) RAM memory

Memory (Part 1) RAM memory Budapest University of Technology and Economics Department of Electron Devices Technology of IT Devices Lecture 7 Memory (Part 1) RAM memory Semiconductor memory Memory Overview MOS transistor recap and

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells

On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells Ke Chen, Jie Han, and Fabrizio Lombardi Abstract This brief investigates

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

Design of an efficient NOR Content Addressable Memory Bit cell Using memristor and MT-CMOS in FinFET Technology

Design of an efficient NOR Content Addressable Memory Bit cell Using memristor and MT-CMOS in FinFET Technology International Journal of Innovation and Scientific Research ISSN 2351-8014 Vol. 22 No. 2 Apr. 2016, pp. 364-374 2015 Innovative Space of Scientific Research Journals http://www.ijisr.issr-journals.org/

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Negative high voltage DC-DC converter using a New Cross-coupled Structure

Negative high voltage DC-DC converter using a New Cross-coupled Structure Negative high voltage DC-DC converter using a New Cross-coupled Structure Jun Zhao 1, Kyung Ki Kim 2 and Yong-Bin Kim 3 1 Marvell Technology, USA 2 Department of Electronic Engineering, Daegu University,

More information

TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power

TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power Invited Paper TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power Eun Chu Oh and Paul D. Franzon ECE Dept., North Carolina State University, 2410 Campus Shore Drive, Raleigh, NC, USA

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

An Energy Efficient Match-Line Sensing Scheme for High-Speed and Highly-Reliable Ternary Content Addressable Memory

An Energy Efficient Match-Line Sensing Scheme for High-Speed and Highly-Reliable Ternary Content Addressable Memory An Energy Efficient Match-Line Sensing Scheme for High-Speed and Highly-Reliable Ternary Content Addressable Memory Zuberahmed Punekar 1, Dr B S Nagabhushana 2 Student, M.Tech [Electronics], Dept. Of Electronics

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

ACONTENT-ADDRESSABLE memory (CAM) is a

ACONTENT-ADDRESSABLE memory (CAM) is a 1972 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 9, SEPTEMBER 2008 Match Sensing Using Match-Line Stability in Content-Addressable Memories (CAM) Oleksiy Tyshchenko and Ali Sheikholeslami, Senior

More information

FOR contemporary memories, array structures and periphery

FOR contemporary memories, array structures and periphery IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 2, FEBRUARY 2005 515 A Novel High-Speed Sense Amplifier for Bi-NOR Flash Memories Chiu-Chiao Chung, Hongchin Lin, Member, IEEE, and Yen-Tai Lin Abstract

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell

90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell 90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell Kouichi Kanda 1, Hattori Sadaaki 2, and Takayasu Sakurai 3 1 Fujitsu Laboratories Ltd. 2 KDDI corporation 3 Institute of Industrial Science,

More information

Status and Prospect for MRAM Technology

Status and Prospect for MRAM Technology Status and Prospect for MRAM Technology Dr. Saied Tehrani Nonvolatile Memory Seminar Hot Chips Conference August 22, 2010 Memorial Auditorium Stanford University Everspin Technologies, Inc. - 2010 Agenda

More information

IN digital circuits, reducing the supply voltage is one of

IN digital circuits, reducing the supply voltage is one of IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 10, OCTOBER 2014 753 A Low-Power Subthreshold to Above-Threshold Voltage Level Shifter S. Rasool Hosseini, Mehdi Saberi, Member,

More information

High-Robust Relaxation Oscillator with Frequency Synthesis Feature for FM-UWB Transmitters

High-Robust Relaxation Oscillator with Frequency Synthesis Feature for FM-UWB Transmitters JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.2.202 ISSN(Online) 2233-4866 High-Robust Relaxation Oscillator with

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit V Dynamic Logic Concept Circuits Contents Charge Leakage Charge Sharing The Dynamic RAM Cell Clocks and Synchronization Clocked-CMOS Clock Generation Circuits Communication

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

AFRL-RY-WP-TR

AFRL-RY-WP-TR AFRL-RY-WP-TR-2017-0198 NEW CONTENT ADDRESSABLE MEMORY (CAM) TECHNOLOGIES FOR BIG DATA AND INTELLIGENT ELECTRONICS ENABLED BY MAGNETO-ELECTRIC TERNARY CAM Kang L. Wang University of California, Los Angeles

More information

Design and performance evaluation of a low-power dataline SRAM sense amplifier

Design and performance evaluation of a low-power dataline SRAM sense amplifier Design and performance evaluation of a low-power dataline SRAM sense amplifier The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

RECENTLY, low-voltage and low-power circuit design

RECENTLY, low-voltage and low-power circuit design IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 4, APRIL 2008 319 A Programmable 0.8-V 10-bit 60-MS/s 19.2-mW 0.13-m CMOS ADC Operating Down to 0.5 V Hee-Cheol Choi, Young-Ju

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

A Spin-Torque Transfer MRAM in 90nm CMOS. Hui William Song

A Spin-Torque Transfer MRAM in 90nm CMOS. Hui William Song A Spin-Torque Transfer MRAM in 90nm CMOS by Hui William Song A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department of Electrical and Computer

More information

CMOS Analog Integrate-and-fire Neuron Circuit for Driving Memristor based on RRAM

CMOS Analog Integrate-and-fire Neuron Circuit for Driving Memristor based on RRAM JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.2.174 ISSN(Online) 2233-4866 CMOS Analog Integrate-and-fire Neuron

More information

MODELLING AND TESTING OF GATE OXIDE SHORTS IN SRAM AND DRAM

MODELLING AND TESTING OF GATE OXIDE SHORTS IN SRAM AND DRAM MODELLING AND TESTING OF GATE OXIDE SHORTS IN SRAM AND DRAM Ms.V.Kavya Bharathi 1, Mr.M.Sathiyenthiran 2 1 PG Scholar, Department of ECE, Srinivasan Engineering College, Perambalur, TamilNadu, India. 2

More information

Fully Integrated Direct Regulating Rectifier with Resonance Frequency Shift for Wireless Power Receivers

Fully Integrated Direct Regulating Rectifier with Resonance Frequency Shift for Wireless Power Receivers JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.597 ISSN(Online) 2233-4866 Fully Integrated Direct Regulating Rectifier

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

A 2.5 V 109 db DR ADC for Audio Application

A 2.5 V 109 db DR ADC for Audio Application 276 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.4, DECEMBER, 2010 A 2.5 V 109 db DR ADC for Audio Application Gwangyol Noh and Gil-Cho Ahn Abstract A 2.5 V feed-forward second-order deltasigma

More information

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator A. T. Fathima Thuslim Department of Electronics and communication Engineering St. Peters University, Avadi, Chennai, India Abstract: Single

More information

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology Chih-Ting Yeh (1, 2) and Ming-Dou Ker (1, 3) (1) Department

More information

Design of a Temperature-Compensated Crystal Oscillator Using the New Digital Trimming Method

Design of a Temperature-Compensated Crystal Oscillator Using the New Digital Trimming Method Journal of the Korean Physical Society, Vol. 37, No. 6, December 2000, pp. 822 827 Design of a Temperature-Compensated Crystal Oscillator Using the New Digital Trimming Method Minkyu Je, Kyungmi Lee, Joonho

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 1 ME, Dept. Of Electronics And Telecommunication,PREC, Maharashtra, India 2 Associate Professor,

More information

A REVIEW ON MAGNETIC TUNNEL JUNCTION TECHNOLOGY

A REVIEW ON MAGNETIC TUNNEL JUNCTION TECHNOLOGY A REVIEW ON MAGNETIC TUNNEL JUNCTION TECHNOLOGY Pawan Choudhary 1, Dr. Kanika Sharma 2, Sagar Balecha 3, Bhaskar Mishra 4 1 M.E Scholar, Electronics & Communication Engineering, National Institute of Technical

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems

Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems Jawar Singh, Jimson Mathew, Saraju P. Mohanty and Dhiraj K. Pradhan Department of Computer Science, University of Bristol,

More information

Integrate-and-Fire Neuron Circuit and Synaptic Device using Floating Body MOSFET with Spike Timing- Dependent Plasticity

Integrate-and-Fire Neuron Circuit and Synaptic Device using Floating Body MOSFET with Spike Timing- Dependent Plasticity JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.6, DECEMBER, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.6.658 ISSN(Online) 2233-4866 Integrate-and-Fire Neuron Circuit

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP

A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP Noushin Ghaderi 1, Khayrollah Hadidi 2 and Bahar Barani 3 1 Faculty of Engineering, Shahrekord University, Shahrekord, Iran

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies JOURNAL OF ELECTROMAGNETIC ENGINEERING AND SCIENCE, VOL. 17, NO. 2, 98~104, APR. 2017 http://dx.doi.org/10.5515/jkiees.2017.17.2.98 ISSN 2234-8395 (Online) ISSN 2234-8409 (Print) CMOS 120 GHz Phase-Locked

More information

Architecture of Computers and Parallel Systems Part 9: Digital Circuits

Architecture of Computers and Parallel Systems Part 9: Digital Circuits Architecture of Computers and Parallel Systems Part 9: Digital Circuits Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems Part

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems

VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.3, SEPTEMBER, 2010 185 VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems Jongmin Cho*, Jinsang

More information

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Michael J. Hall Viktor Gruev Roger D. Chamberlain Michael J. Hall, Viktor Gruev, and Roger D. Chamberlain, Performance

More information

Optimization of Digitally Controlled Oscillator with Low Power

Optimization of Digitally Controlled Oscillator with Low Power IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 52-57 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Optimization of Digitally Controlled

More information

Sensing Circuits for Resistive Memory

Sensing Circuits for Resistive Memory Sensing Circuits for Resistive Memory R. Jacob, Ph.D., P.E. Department of Electrical Engineering Boise State University 1910 University Dr., ET 201 Boise, ID 83725 jbaker@ieee.org Abstract A nascent class

More information

Structure Optimization of ESD Diodes for Input Protection of CMOS RF ICs

Structure Optimization of ESD Diodes for Input Protection of CMOS RF ICs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.401 ISSN(Online) 2233-4866 Structure Optimization of ESD Diodes for

More information

A Multi-purpose Fingerprint Readout Circuit Embedding Physiological Signal Detection

A Multi-purpose Fingerprint Readout Circuit Embedding Physiological Signal Detection JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 2016 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2016.16.6.793 ISSN(Online) 2233-4866 A Multi-purpose Fingerprint Readout

More information

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm Journal of Computer and Communications, 2015, 3, 164-168 Published Online November 2015 in SciRes. http://www.scirp.org/journal/jcc http://dx.doi.org/10.4236/jcc.2015.311026 Design and Implement of Low

More information

GENERALLY speaking, to decrease the size and weight of

GENERALLY speaking, to decrease the size and weight of 532 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 24, NO. 2, FEBRUARY 2009 A Low-Consumption Regulated Gate Driver for Power MOSFET Ren-Huei Tzeng, Student Member, IEEE, and Chern-Lin Chen, Senior Member,

More information

Self-timed Refreshing Approach for Dynamic Memories

Self-timed Refreshing Approach for Dynamic Memories Self-timed Refreshing Approach for Dynamic Memories Jabulani Nyathi and Jos6 G. Delgado-F'rias Department of Electrical Engineering State University of New York Binghamton, NY 13902-6000 Abstract Refreshing

More information

A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES

A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES Aamna Anil 1 and Ravi Kumar Sharma 2 1 Department of Electronics and Communication Engineering Lovely Professional University, Jalandhar, Punjab, India

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

Performance of Low Power SRAM Cells On SNM and Power Dissipation

Performance of Low Power SRAM Cells On SNM and Power Dissipation Performance of Low Power SRAM Cells On SNM and Power Dissipation Kanika Kaur 1, Anurag Arora 2 KIIT College of Engineering, Gurgaon, Haryana, INDIA Abstract: Over the years, power requirement reduction

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic EE 330 Lecture 44 Digital Circuits Dynamic Logic Circuits Course Evaluation Reminder - All Electronic Digital Building Blocks Shift Registers Sequential Logic Shift Registers (stack) Array Logic Memory

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

A Ternary Content Addressable Cell Using a Single Phase Change Memory (PCM)

A Ternary Content Addressable Cell Using a Single Phase Change Memory (PCM) A Ternary Content Addressable Cell Using a Single Phase Change Memory (PCM) Pilin Junsangsri, Fabrizio Lombardi Department of Electrical and Computer Engineering Northeastern University Boston, MA 02115,

More information

A PCM-based TCAM cell using NDR

A PCM-based TCAM cell using NDR A PCM-based TCAM cell using NDR Hao Wu, Fabrizio Lombardi Department of Electrical and Computer Engineering Northeastern University Boston, MA USA 02115 wu.hao1@husky.neu.edu, lombardi@ece.neu.edu Jie

More information