A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member, IEEE

Size: px
Start display at page:

Download "A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member, IEEE"

Transcription

1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member, IEEE Abstract Advanced computing systems embed spintronic devices to improve the leakage performance of conventional CMOS systems. High speed, low power, and infinite endurance are important properties of magnetic tunnel junction (MTJ), a spintronic device, which assures its use in memories and logic circuits. This paper presents a PentaMTJ-based logic gate, which provides easy cascading, self-referencing, less voltage headroom problem in precharge sense amplifier and low area overhead contrary to existing MTJ-based gates. PentaMTJ is used here because it provides guaranteed disturbance free reading and increased tolerance to process variations along with compatibility with CMOS process. The logic gate is validated by simulation at the 45-nm technology node using a VerilogA model of the PentaMTJ. Index Terms Counter, magnetic logic gate, magnetic tunnel junction (MTJ), magnetoresistance, nonvolatile logic devices, PentaMTJ, precharge sense amplifier (PCSA), spintronics. I. INTRODUCTION SPINTRONICS has been under extensive research because of nonvolatility, infinite endurance, and low power [1]. The spin is employed for storing information and the charge for its processing. It has the potential to replace CMOS logic and memory [2]. In deep submicrometer, scaling of CMOS causes the leakage power to dominate over all other power components [3]. Digital signals are represented in conventional CMOS logic by the presence or absence of electrical charge in terms of voltage V DD or ground. However, in spintronics, digital signals are represented by up and down spin of electron. In recent years, researchers have developed spintronic devices, such as magnetic tunnel junctions (MTJs), which operates on the principle of tunnel magnetoresistance (TMR) [4]. An MTJ is composed of two ferromagnetic layers separated by an oxide layer with the capability to improve the performance of CMOS logic circuit in terms of power dissipation, area required, and interconnection delay [5]. It can also be easily fabricated using 3-D backend integration process, which is compatible with CMOS process, without any area overhead [6]. Manuscript received May 26, 2014; revised September 22, 2014, November 30, 2014, and January 16, 2015; accepted January 17, This work was supported by the Departmental Research Support Grant through the University Grants Commission, Government of India. The authors are with the Department of Electronics Engineering, Aligarh Muslim University, Aligarh , India ( engg.mkg@gmail.com; mohdhasan097@gmail.com). Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /TVLSI Fig. 1. Structure of PentaMTJ with two pinned layers (TPL and BPL) and one free layer. Several types of logic gates using MTJ are reported in the literature. The dual properties of MTJ, namely, processing and storage, help to reduce the memory and interconnect delay/power [7] needed to store the processed data back into memory. Although reported magnetic logic gates help in reducing power and delay but they have many drawbacks. In [8], a magnetic XOR gate comprising of six MTJs and transistors is presented. Its area requirement is less but as the number of MTJ increases, the writing energy also rises, which is a serious limitation of hybrid circuit consisting of MTJ and CMOS. In [9], the logic gate would require additional circuitry to convert the voltage signals to the current signal of sufficient magnitude for writing the MTJ of the subsequent stage leading to an increase in delay, power consumption, and area. Lyle et al. [10] designed a logic gate with only one output MTJ that can realize logic operation by selecting proper preset, i.e., initial state and operating voltage. Moreover, the author has implemented only linear logic like NAND, NOR, and majority function. If a nonlinear logic like two-input XOR/XNOR were to be implemented using NAND/NOR, respectively, then the output would be obtained in three stages. Friedman et al. [11] and Horowitz and Hill [12] proposed a spin-diode logic family and CMOS logic gate, respectively, in which the static power dissipation was more than the writing power dissipation. This is due to the requirement of constant V DD supply for nodes of spin-diode and leakagepower dissipation in CMOS at the nanoscale, respectively. A PentaMTJ is composed of two pinned ferromagnetic layers and one free layer. In between the pinned layer and the free layer, MgO (insulating oxide) is used, as shown in Fig. 1. Two resistance states, like in conventional MTJ, IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See for more information.

2 2 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS namely, one is a parallel state and the other is an antiparallel state. It is also effectively used in the realization of memory. Huda and Sheikholeslami [13] proposed a novel PentaMTJ-based Spin Transfer Torque-Magnetic Random Access Memory for disturbance free reading. We have also presented a PentaMTJ-based Ternary Content Addressable Memory with less delay and search power [14]. PentaMTJ-based realization of digital circuits has many advantages. First, PentaMTJ-based magnetic logic gates do not require referencing circuit due to the presence of two pinned layers with opposite spin orientations (self-referencing) contrary to MTJ. Second, no extra hardware is needed for complementary outputs due to the presence of precharge sense amplifier (PCSA) for sensing. Third, the output of a spintronic device is directly sensed by the PCSA so there is no need to initialize the state of the output MTJ for sensing. The sensing power consumption is reduced and the speed is enhanced due to the use of PCSA as sense amplifier because it turns ON only for a short duration during the transitions. Finally, ease of cascading is the greatest contribution of our proposed magnetic logic gate because the output of the gate and the programming signal of PentaMTJ are both voltage signals. This paper is organized into six sections. Section II describes the PentaMTJ and logic in memory architecture. Section III covers the design of three basic logic gates and implementation of XOR/XNOR along with simulation result to validate its functionality. Section IV discusses the cascading of logic gate with the help of a 3-bit Gray counter as an example and also its simulation results. Section V computes the energy and delay in writing and sensing followed by the conclusion in Section VI. II. STATE OF THE ART A. PentaMTJ Fig. 1 shows the structure of the PentaMTJ which comprises of two pinned layers: 1) top pinned layer (TPL) and 2) bottom pinned layer (BPL). The magnetization of two pinned layers is in opposite direction and is fixed. In this paper, 1 state is assigned when TPL (pinned 1) is parallel to the free layer and 0 state when BPL (pinned 2) is parallel to the free layer. The proposed structure of PentaMTJ, presented in [13], needs less current for writing as compared with the conventional MTJ. It requires current only for converting antiparallel to parallel state for one stack, the other stack automatically comes into antiparallel state. Moreover, PentaMTJ provides guaranteed disturbance free reading and increases the tolerance to process variation as per the only reference available in the literature on PentaMTJ [13]. The effect of process variation of one stack is nullified by another stack in case of PentaMTJ contrary to two different MTJs whose process variations degrade the performance [15]. Actually, no experimental data is available for the double barrier and therefore, we have assumed that the single barrier model is also valid for a double barrier for TMR ratio. However, the dual pinned layer with single free layer structure of PentaMTJ has already been verified by micromagnetic simulation in [16]. PentaMTJ has lower resistance than the conventional MTJ because it works well for small value of oxide thickness Fig. 2. (a) Block diagram of logic gates using PentaMTJ. (b) Writing, state detection, and amplification using PCSA of PentaMTJ cell. compared with MTJ [13]. The spin-transfer torque using perpendicular magnetic anisotropy (PMA) would greatly reduce the required switching voltage due to the absence of the easy-plane anisotropy term found in in-plane devices which increases the switching voltage without contributing to the activation energy [17]. B. Logic in Memory The logic-in-memory architecture, shown in Fig. 2, is composed of three parts: 1) PCSA for sensing the difference between the two states of resistance; 2) PentaMTJ logic; and 3) PentaMTJ writing cell. PCSA (as shown in Fig. 2) is a dynamic logic circuit having two phases, namely, a precharge phase and an evaluation phase. The discharging of both branches of PCSA depends upon their relative resistances such that the low-resistance branch discharges the output node capacitance more rapidly that cuts off the other branch because of the cross-coupled PCSA structure. The low-resistance branch pulls down toward ground and the high-resistance branch pulls up toward V DD. The importance of PCSA is described in [14] and [18]. It has low read disturbance and dynamic sensing capabilities that decrease delay. In the proposed logic gates, simultaneous precharging and writing in PentaMTJ are possible. The writing path of PentaMTJ and the precharging path of PCSA are separated by nmos transistors MN2 and MN3. During precharging, CLK is low which disconnects the upper half from the lower half, i.e., precharging of PCSA at the time of writing leads to less delay as well as improved design. Stacking and high processvoltage-temperature (PVT) variations in case of conventional MTJ in deep submicrometer [19] cause severe resistance mismatch that will also lead to PCSA failure in MTJ/CMOS hybrid logic circuits. Due to single stacking of transistor in our proposed PCSA-based gate and low-pvt variation in case of PentaMTJ [13], the proposed logic gates are immune to these two limitations of PCSA. The writing of PentaMTJ is done in only one direction (from antiparallel to parallel state). The writing phase begins by connecting the free layer to V DD by enabling CLK. D and D_bar determine in which stack the parallel writing needs to be done. An nmos MN6 ensures efficient writing in PentaMTJ and discharging of PCSA because during discharging of

3 GUPTA AND HASAN: LOW-POWER ROBUST EASILY CASCADED PentaMTJ-BASED COMBINATIONAL AND SEQUENTIAL CIRCUITS 3 Fig. 3. XOR/XNOR gates using PentaMTJ. Fig. 5. Circuit diagram of 3-bit Gray counter using PentaMTJ. Fig. 4. Simulation result of XOR/XNOR gate. PCSA, it turns OFF. Hence, the discharging of PCSA only happens through the PentaMTJ and not through the writing transistors. III. LOGIC GATES USING PENTAMTJ Logic gates act as basic building blocks for both combinational and sequential circuits. The basic structure of PentaMTJ-based logic gate is divided into three parts, as shown in Fig. 2 and described in Section II. Fig. 3 shows the PentaMTJ-based XOR/XNOR logic gates. For different logic gates, different writing circuitry is required but the sensing portion remains identical. Therefore, the information is stored in the pinned layers using series or parallel combinations of transistors as per the logic. Storing logic in PentaMTJ is designed such that for storing 1, all logic combinations with high output are combined and the net expression is evaluated using K -map and for storing 0, the complement of the expression is evaluated. Fig. 4 shows the simulation results of logic gates with both normal and complementary outputs. A and B are the two inputs, 0 output corresponds to the discharging of PCSA whereas 1 means no discharging for normal output. The evaluation phase begins after precharging the outputs of the PCSA to V DD using the clock CLK. IV. 3-bit GRAY COUNTER Sequential logic circuits differ from combinational logic circuits as the output of a sequential logic circuit depends upon both the previous output (present state) and the present input. A 3-bit Gray counter is a sequential circuit whose successive states differ in only one digit [12]. The present state in a sequential circuit like Gray counter is stored in flip-flops, which is very power consuming under standby condition. Use of MTJ/PentaMTJ in a sequential circuit is beneficial because in case of unintentional shutdown, the counter can be restored from its previous state instead of its initial state. The previous state is restored from PentaMTJ within few hundred picoseconds. In the Gray counter, PCSA is used for sensing to generate the next state, PentaMTJ for present state storage and the writing circuitry to assign the next state to the present state. Fig. 5 shows the circuit diagram of a 3-bit Gray counter comprising of three PentaMTJs for storage, three PCSAs for sensing, and a writing circuit according to the characteristic (1). A n, B n,andc n are the stored outputs (present state) whereas A n+1, B n+1,andc n+1 signify the next state which is to be stored in a PentaMTJ. It starts operating by writing in PentaMTJ using the clock CLKW with a pulsewidth of 1.5 ns. To accomplish the writing in PentaMTJ in 1.5 ns, a clock CLKW with 2-ns time period and 1.5-ns pulsewidth is generated. The precharging and then sensing are performed when CLKW is high (500 ps) using a short duration low CLK (300 ps) pulse followed by a short duration high CLKR pulse (200 ps). The same process is repeated until the counter stops. It may be noted that nmos MN2 and MN3, as shown in Fig. 2, are not used in the Gray counter because the writing and precharging are not done simultaneously. The writing is done according to the previous state and, therefore, precharging and writing are not done at the same time. Fig. 6 shows the simulation results with A n+1 being the least significant bit and C n+1, the most significant bit A n+1 = B n C n B n+1 = A n C n + A n B n C n+1 = A n C n + A n B n. (1) V. RESULT AND DISCUSSION The self referencing property of the PentaMTJ is useful in decreasing the area overhead because of its differential nature. The switching current density in PMA is directly proportional to the magnetization, anisotropy field, and the thickness of the free layer. The thermal stability factor of MTJ/PentaMTJ governs the data retention capability of the digital logic. In case of PentaMTJ, for = 43, the retention

4 4 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS TABLE II COMPARISON OF PENTAMTJ AND CMOS-BASED LOGIC GATE IN TERMS OF NUMBER OF TRANSISTORS TABLE III ENERGY AND DELAY ANALYSIS OF XOR LOGIC GATE Fig. 6. Simulation result of Gray counter. TABLE I PARAMETERS FOR POWER MEASUREMENT TABLE IV ENERGY AND DELAY ANALYSIS OF GRAY COUNTER time is 10 years [13]. It is possible to decrease further as the required retention time is less in case of logic circuits [20]. The switching time delay is inversely proportional to the writing current [21], [22]. Zhang et al. [20] proved that the switching delay can be 0.5 ns for a particular voltage or current and in [23] it has been experimentally found to be 1 ns for a digital logic system. In our proposed PentaMTJ-based Gray counter, the switching delay is assumed to be 1.5 ns and the writing energy is computed for this delay. The time period of the clock for the Gray counter is taken as 2 ns which corresponds to a frequency of 500 MHz. The sensing time of the PCSA is assumed to be 500 ps during which both precharging and sensing take place. It is possible to further increase the frequency of operation of the Gray counter by either reducing the switching time or by increasing the writing current which will cause the write energy to go up. Table I gives the parameters used for the computation of writing and sensing energies. It can also be inferred from Table II that the PentaMTJ-based Gray counter requires less number of transistors compared with MTJ-based Gray counter because of additional writing circuitry requirement in case of MTJ [9]. Table III gives the values of energy dissipation and delay during writing and sensing for XOR logic gate realized using PentaMTJ, MTJ [9], and MTJ [8], respectively. The writing in the proposed PentaMTJ-based logic gate requires less energy as compared with MTJ [10] because only one PentaMTJ is to be programmed. Moreover, in MTJ [8], six MTJs are to be programmed for comparison. The sensing delay is more in the proposed logic gate because of the presence of extra MN3 and MN4 transistors in the sensing path. However, these extra transistors enable simultaneous precharging and efficient writing that reduces errors. It is clear from Table IV that the proposed magnetic Gray counter consumes less writing power as compared with [9] because only three PentaMTJs are required and no intermediate circuitry is needed for conversion. It is also evident from Table IV that the overall energy consumption (sensing and writing) of MTJ-based Gray counter is much more than the proposed Gray counter. As compared with CMOS logic, the proposed magnetic logic gates consume more power and delay in writing but this logic gate consumes little static power which is a major power contributor along with the interconnect power at the nanoscale. VI. CONCLUSION The attractive features of MTJ/PentaMTJ-based CMOS logic are low static power, short interconnect delay,

5 GUPTA AND HASAN: LOW-POWER ROBUST EASILY CASCADED PentaMTJ-BASED COMBINATIONAL AND SEQUENTIAL CIRCUITS 5 and effective power gating because of nonvolatility. PentaMTJ-based logic decreases the area overhead by removing the intermediate circuitry needed for conversion of voltage to current or current to voltage. Moreover, no initial condition is required for performing the logic operation and self referencing property removes the extra MTJs used for referencing. PentaMTJ also provides guaranteed disturbance free reading and increased tolerance to process variations due to its differential nature. REFERENCES [1] S. Tehrani et al., Recent developments in magnetic tunnel junction MRAM, IEEE Trans. Magn., vol. 36, no. 5, pp , Sep [2] G. A. Prinz, Magnetoelectronics, Science, vol. 282, pp , Nov [3] ERD. (2011). International Roadmap for Semiconductor (ITRS). [Online]. Available: [4] S. Parkin, X. Jiang, C. Kaiser, A. Panchula, K. Roche, and M. Samant, Magnetically engineered spintronic sensors and memory, Proc. IEEE, vol. 91, no. 5, pp , May [5] S. A. Wolfet al., Spintronics: A spin-based electronics vision for the future, Science, vol. 294, no. 5546, pp , [6] C. Chappert, A. Fert, and F. N. Van Dau, The emergence of spin electronics in data storage, Nature Mater., vol. 6, no. 11, pp , Nov [7] S. D. Pable and M. Hasan, Interconnect design for subthreshold circuits, IEEE Trans. Nanotechnol., vol. 11, no. 3, pp , May [8] H.-P. Trinh, W. Zhao, J.-O. Klein, Y. Zhang, D. Ravelsona, and C. Chappert, Magnetic adder based on racetrack memory, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 60, no. 6, pp , Jun [9] S. Lee, N. Kim, H. Yang, G. Lee, S. Lee, and H. Shin, The 3-bit gray counter based on magnetic-tunnel-junction elements, IEEE Trans. Magn., vol. 43, no. 6, pp , Jun [10] A. Lyle et al., Magnetic tunnel junction logic architecture for realization of simultaneous computation and communication, IEEE Trans. Magn., vol. 47, no. 10, pp , Oct [11] J. S. Friedman, N. Rangaraju, Y. I. Ismail, and B. W. Wessels, A spin-diode logic family, IEEE Trans. Nanotechnol., vol. 11, no. 5, pp , Sep [12] P. Horowitz and W. Hill, The Art of Electronics. Cambridge, U.K.: Cambridge Univ. Press, [13] S. Huda and A. Sheikholeslami, A novel STT-MRAM cell with disturbance-free read operation, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 60, no. 6, pp , Jun [14] M. K. Gupta and M. Hasan, Design of high speed energy efficient masking error immune PentaMTJ based TCAM, IEEE Trans. Magn., no. 99. [15] W. Xu, T. Zhang, and Y. Chen, Design of spin-torque transfer magnetoresistive RAM and CAM/TCAM with high sensing and search speed, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 18, no. 1, pp , Jan [16] A. Makarov, V. Sverdlov, D. Osintsev, and S. Selberherr, Fast switching in magnetic tunnel junctions with two pinned layers: Micromagnetic modeling, IEEE Trans. Magn., vol. 48, no. 4, pp , Apr [17] J. Z. Sun, Spin-current interaction with a monodomain magnetic body: A model study, Phys.Rev.B, vol. 62, no. 1, pp , [18] E. Deng, Y. Zhang, J.-O. Klein, D. Ravelsona, C. Chappert, and W. Zhao, Low power magnetic full-adder based on spin transfer torque MRAM, IEEE Trans. Magn., vol. 49, no. 9, pp , Sep [19] W. S. Zhao et al., Failure and reliability analysis of STT-MRAM, Microelectron. Rel., vol. 52, nos. 9 10, pp , Sep./Oct [20] Y. Zhang et al., Compact modeling of perpendicular-anisotropy CoFeB/MgO magnetic tunnel junctions, IEEE Trans. Electron Devices, vol. 59, no. 3, pp , Mar [21] M. Marins de Castro et al., Precessional spin-transfer switching in a magnetic tunnel junction with a synthetic antiferromagnetic perpendicular polarizer, J. Appl. Phys., vol. 111, no. 7, pp. 07C C912-3, Apr [22] D. C. Worledge et al., Spin torque switching of perpendicular Ta CoFeB MgO-based magnetic tunnel junctions, Appl. Phys. Lett., vol. 98, no. 2, pp , Jan [23] S. Patil, A. Lyle, J. Harms, D. J. Lilja, and J.-P. Wang, Spintronic logic gates for spintronic data using magnetic tunnel junctions, in Proc. IEEE Int. Conf. Comput. Design, Oct. 2010, pp Mohit Kumar Gupta received the B.Tech. degree in electronics and communication engineering from Uttar Pradesh Technical University, Lucknow, India, in 2012, and the M.Tech. degree in electronics circuit and system design from the Department of Electronics Engineering, Aligarh Muslim University, Aligarh, India, in He is involved in the design of magnetoresistive random access memory and implementation of magnetic tunnel junctions in digital circuits. He has authored three IEEE TRANSACTIONS. His current research interests include digital circuit and system design for memory. He was a recipient of the Junior Research Fellowship from the Council of Scientific and Industrial Research, India, in 2013, and the University Grant Commission, India, in Mohd. Hasan (M 10 SM 13) received the B.Tech. degree in electronics engineering from Aligarh Muslim University (AMU), Aligarh, India, the M.Tech. degree in integrated electronics and circuits from IIT Delhi, Delhi, India, and the Ph.D. degree in lowpower architectures for multicarrier systems from the University of Edinburgh, Edinburgh, U.K. He has been a Full Professor at AMU since He was a Visiting Post-Doctoral Researcher on a project funded by the prestigious Royal Academy of Engineering, U.K., on low-power field programmable gate array architecture with the School of Engineering, University of Edinburgh. He has authored over 132 research papers in reputed journals and conference proceedings with 470 citations. His current research interests include low-power VLSI design, nanoelectronics, spintronics, and batteryless electronics. He received the Best International Journal Paper Award and International Conference Paper Award.

MAGNETORESISTIVE random access memory

MAGNETORESISTIVE random access memory 132 IEEE TRANSACTIONS ON MAGNETICS, VOL. 41, NO. 1, JANUARY 2005 A 4-Mb Toggle MRAM Based on a Novel Bit and Switching Method B. N. Engel, J. Åkerman, B. Butcher, R. W. Dave, M. DeHerrera, M. Durlam, G.

More information

A REVIEW ON MAGNETIC TUNNEL JUNCTION TECHNOLOGY

A REVIEW ON MAGNETIC TUNNEL JUNCTION TECHNOLOGY A REVIEW ON MAGNETIC TUNNEL JUNCTION TECHNOLOGY Pawan Choudhary 1, Dr. Kanika Sharma 2, Sagar Balecha 3, Bhaskar Mishra 4 1 M.E Scholar, Electronics & Communication Engineering, National Institute of Technical

More information

Variation-tolerant Non-volatile Ternary Content Addressable Memory with Magnetic Tunnel Junction

Variation-tolerant Non-volatile Ternary Content Addressable Memory with Magnetic Tunnel Junction JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.458 ISSN(Online) 2233-4866 Variation-tolerant Non-volatile Ternary

More information

Mohammad Kazemi, Student Member, IEEE, Engin Ipek, Member, IEEE, andebyg.friedman,fellow, IEEE

Mohammad Kazemi, Student Member, IEEE, Engin Ipek, Member, IEEE, andebyg.friedman,fellow, IEEE 1154 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 62, NO. 12, DECEMBER 2015 Energy-Efficient Nonvolatile Flip-Flop With Subnanosecond Data Backup Time for Fine-Grain Power Gating

More information

A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference

A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference Yong-Sik Park, Gyu-Hyun Kil, and Yun-Heub Song a) Department of Electronics and Computer Engineering,

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells

Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells Ke Chen, Jie Han and Fabrizio Lombardi Abstract This paper proposes two non-volatile content addressable memory (CAM)

More information

On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells

On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells Ke Chen, Jie Han, and Fabrizio Lombardi Abstract This brief investigates

More information

STT-MRAM Read-circuit with Improved Offset Cancellation

STT-MRAM Read-circuit with Improved Offset Cancellation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.347 ISSN(Online) 2233-4866 STT-MRAM Read-circuit with Improved Offset

More information

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 06-15 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org High-Performance of Domino Logic

More information

Novel Buffered Magnetic Logic Gate Grid. T. Windbacher, A. Makarov, V. Sverdlov, and S. Selberherr

Novel Buffered Magnetic Logic Gate Grid. T. Windbacher, A. Makarov, V. Sverdlov, and S. Selberherr Novel Buffered Magnetic Logic Gate Grid T. Windbacher, A. Makarov, V. Sverdlov, and S. Selberherr Institute for Microelectronics, TU Wien, Vienna, A-1040, Austria The nowadays performance limiting power

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

Status and Prospect for MRAM Technology

Status and Prospect for MRAM Technology Status and Prospect for MRAM Technology Dr. Saied Tehrani Nonvolatile Memory Seminar Hot Chips Conference August 22, 2010 Memorial Auditorium Stanford University Everspin Technologies, Inc. - 2010 Agenda

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8,

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8, DESIGN OF SEQUENTIAL CIRCUITS USING MULTI-VALUED LOGIC BASED ON QDGFET Chetan T. Bulbule 1, S. S. Narkhede 2 Department of E&TC PICT Pune India chetanbulbule7@gmail.com 1, ssn_pict@yahoo.com 2 Abstract

More information

Design of Low Power High Speed Hybrid Full Adder

Design of Low Power High Speed Hybrid Full Adder IJECT Vo l. 6, Is s u e 4, Oc t - De c 2015 ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) Design of Low Power High Speed Hybrid Full Adder 1 P. Kiran Kumar, 2 P. Srikanth 1,2 Dept. of ECE, MVGR College

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS Mrs. K. Srilakshmi 1, Mrs. Y. Syamala 2 and A. Suvir Vikram 3 1 Department of Electronics and Communication

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

CMAT Non-Volatile Spintronic Computing: Complementary MTJ Logic

CMAT Non-Volatile Spintronic Computing: Complementary MTJ Logic Invited Paper CMAT Non-Volatile Spintronic Computing: Complementary MTJ Logic Joseph S. Friedman Department of Electrical Engineering The University of Texas at Dallas Richardson, TX 75080 ABSTRACT Magnetic

More information

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator A. T. Fathima Thuslim Department of Electronics and communication Engineering St. Peters University, Avadi, Chennai, India Abstract: Single

More information

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC 1 S.Varalakshmi, 2 M. Rajmohan, M.Tech, 3 P. Pandiaraj, M.Tech 1 M.Tech Department of ECE, 2, 3 Asst.Professor, Department of ECE, 1,

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Mayank Chakraverty and Harish M Kittur. VIT University, Vellore, India,

Mayank Chakraverty and Harish M Kittur. VIT University, Vellore, India, International Journal of Micro and Nano Systems, 2(1), 2011, pp. 1-6 FIRST PRINCIPLE SIMULATIONS OF FE/MGO/FE MAGNETIC TUNNEL JUNCTIONS FOR APPLICATIONS IN MAGNETORESISTIVE RANDOM ACCESS MEMORY BASED CELL

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

MTJ Variation Monitor-assisted Adaptive MRAM Write

MTJ Variation Monitor-assisted Adaptive MRAM Write MTJ Variation Monitor-assisted Adaptive MRAM Write Shaodi Wang shaodiwang@g.ucla.edu Pedram Khalili pedramk@ucla.edu Hochul Lee chul0524@ucla.edu Kang L. Wang wang@ee.ucla.edu Cecile Grezes grezes.cecile@gmail.com

More information

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com ISSN (ONLINE): 2395-695X POWER DELAY PRODUCT AND AREA REDUCTION OF

More information

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Michael J. Hall Viktor Gruev Roger D. Chamberlain Michael J. Hall, Viktor Gruev, and Roger D. Chamberlain, Performance

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Mangayarkkarasi M 1, Joseph Gladwin S 2 1 Assistant Professor, 2 Associate Professor 12 Department of ECE 1 Sri

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic A.Kishore Kumar 1 Dr.D.Somasundareswari 2 Dr.V.Duraisamy 3 M.Pradeepkumar 4 1 Lecturer-Department of ECE, 3

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs International Academic Institute for Science and Technology International Academic Journal of Science and Engineering Vol. 2, No., 201, pp. 29-. ISSN 2-9 International Academic Journal of Science and Engineering

More information

SINGLE CYCLE TREE 64 BIT BINARY COMPARATOR WITH CONSTANT DELAY LOGIC

SINGLE CYCLE TREE 64 BIT BINARY COMPARATOR WITH CONSTANT DELAY LOGIC SINGLE CYCLE TREE 64 BIT BINARY COMPARATOR WITH CONSTANT DELAY LOGIC 1 LAVANYA.D, 2 MANIKANDAN.T, Dept. of Electronics and communication Engineering PGP college of Engineering and Techonology, Namakkal,

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

A Review of Clock Gating Techniques in Low Power Applications

A Review of Clock Gating Techniques in Low Power Applications A Review of Clock Gating Techniques in Low Power Applications Saurabh Kshirsagar 1, Dr. M B Mali 2 P.G. Student, Department of Electronics and Telecommunication, SCOE, Pune, Maharashtra, India 1 Head of

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

Adiabatic Logic Circuits for Low Power, High Speed Applications

Adiabatic Logic Circuits for Low Power, High Speed Applications IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 10 April 2017 ISSN (online): 2349-784X Adiabatic Logic Circuits for Low Power, High Speed Applications Satyendra Kumar Ram

More information

Low Power &High Speed Domino XOR Cell

Low Power &High Speed Domino XOR Cell Low Power &High Speed Domino XOR Cell Payal Soni Electronics and Communication Department, FET- Mody University Lakshmangarh, Dist.-Sikar, India E-mail: payal.soni3091@gmail.com Abstract Shiwani Singh

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 3, Issue 8, August 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com A Novel Implementation

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital 426 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 62, NO. 5, MAY 2015 A Novel Hybrid Radix-/Radix-2 SAR ADC With Fast Convergence and Low Hardware Complexity Manzur Rahman, Arindam

More information

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier LETTER IEICE Electronics Express, Vol.11, No.6, 1 7 Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier S. Vijayakumar 1a) and Reeba Korah 2b) 1

More information

Memory (Part 1) RAM memory

Memory (Part 1) RAM memory Budapest University of Technology and Economics Department of Electron Devices Technology of IT Devices Lecture 7 Memory (Part 1) RAM memory Semiconductor memory Memory Overview MOS transistor recap and

More information

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

UNEXPECTED through-silicon-via (TSV) defects may occur

UNEXPECTED through-silicon-via (TSV) defects may occur IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 10, OCTOBER 2017 1759 Grouping-Based TSV Test Architecture for Resistive Open and Bridge Defects in 3-D-ICs Young-woo

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IMPROVEMENT IN NOISE AND DELAY IN DOMINO CMOS LOGIC CIRCUIT Ankit Kumar*, Dr. A.K. Gautam * Student, M.Tech. (ECE), S.D. College

More information

An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction

An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction Won Ho Choi*, Yang Lv*, Hoonki Kim, Jian-Ping Wang, and Chris H. Kim *equal contribution

More information

Efficient logic architectures for CMOL nanoelectronic circuits

Efficient logic architectures for CMOL nanoelectronic circuits Efficient logic architectures for CMOL nanoelectronic circuits C. Dong, W. Wang and S. Haruehanroengra Abstract: CMOS molecular (CMOL) circuits promise great opportunities for future hybrid nanoscale IC

More information

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application Rumi Rastogi and Sujata Pandey Amity University Uttar Pradesh, Noida, India Email: rumi.ravi@gmail.com,

More information

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Juliet Abraham 1, Dr. B. Paulchamy 2 1 PG Scholar, Hindusthan institute of Technology, coimbtore-32, India 2 Professor and HOD,

More information

Quantitative evaluation of reliability and performance for STT-MRAM

Quantitative evaluation of reliability and performance for STT-MRAM Quantitative evaluation of reliability and performance for STT-MRAM Liuyang Zhang, Aida Todri-Sanial, Wang Kang, Youguang Zhang, Lionel Torres, Yuanqing Cheng, Weisheng Zhao To cite this version: Liuyang

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE P a g e 80 Available online at http://arjournal.org APPLIED RESEARCH JOURNAL RESEARCH ARTICLE ISSN: 2423-4796 Applied Research Journal Vol. 3, Issue, 2, pp.80-86, February, 2017 COMPARATIVE STUDY ON SINGLE

More information

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Seyedehsomayeh Hatefinasab * Department of Electrical and Computer Engineering, Payame Noor University, Sari, Iran. *Corresponding

More information

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR B. Sathiyabama 1, Research Scholar, Sathyabama University, Chennai, India, mathumithasurya@gmail.com Abstract Dr. S. Malarkkan 2, Principal,

More information

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches Indian Journal of Science and Technology, Vol 9(17), DOI: 10.17485/ijst/2016/v9i17/93111, May 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Study and Analysis of CMOS Carry Look Ahead Adder with

More information

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2 Minimization of Leakage Current of 6T SRAM using Optimal Technology Sumit Kumar Srivastava 1, Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology, Uttar Pradesh Technical

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier Thutivaka Vasudeepthi 1, P.Malarvezhi 2 and R.Dayana 3 1-3 Department of ECE, SRM University SRM Nagar, Kattankulathur, Kancheepuram

More information

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT Kaushal Kumar Nigam 1, Ashok Tiwari 2 Department of Electronics Sciences, University of Delhi, New Delhi 110005, India 1 Department of Electronic

More information

Unique Journal of Engineering and Advanced Sciences Available online: Research Article

Unique Journal of Engineering and Advanced Sciences Available online:   Research Article ISSN 2348-375X Unique Journal of Engineering and Advanced Sciences Available online: www.ujconline.net Research Article WIDE FAN-IN GATES FOR COMBINATIONAL CIRCUITS USING CCD Mekala S 1 *, Meenakanimozhi

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Performance Evaluation of CNTFET Based Ternary Basic Gates and Half Adder

Performance Evaluation of CNTFET Based Ternary Basic Gates and Half Adder Performance Evaluation of CNTFET Based Ternary Basic Gates and Half Adder Gaurav Agarwal 1, Amit Kumar 2 1, 2 Department of Electronics, Institute of Engineering and Technology, Lucknow Abstract: The shrinkage

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy

More information

STATIC cmos circuits are used for the vast majority of logic

STATIC cmos circuits are used for the vast majority of logic 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 2, FEBRUARY 2017 Design of Low-Power High-Performance 2 4 and 4 16 Mixed-Logic Line Decoders Dimitrios Balobas and Nikos Konofaos

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

Basic Principles, Challenges and Opportunities of STT-MRAM for Embedded Memory Applications

Basic Principles, Challenges and Opportunities of STT-MRAM for Embedded Memory Applications Basic Principles, Challenges and Opportunities of STT-MRAM for Embedded Memory Applications Luc Thomas TDK- Headway Technologies, 463 S. Milpitas Boulevard, Milpitas CA 95035, USA MRAM Team at TDK - Headway

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK DESIGN OF LOW POWER MULTIPLIERS USING APPROXIMATE ADDER MR. PAWAN SONWANE 1, DR.

More information

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology Performance Analysis of Novel Domino Gate in Sub 45nm CMOS Technology AMIT KUMAR PANDEY, RAM AWADH MISHRA, RAJENDRA KUMAR NAGARIA Department of Electronics and Communication Engineering MNNIT Allahabad-211004

More information