A Study of The Advancement of CMOS ALU & Full Adder Circuit Design For Modern Design

Size: px
Start display at page:

Download "A Study of The Advancement of CMOS ALU & Full Adder Circuit Design For Modern Design"

Transcription

1 A Study of The Advancement of & Full Adder Circuit Design F Modern Design Bruce Hardy BR Department of Electrical and Computer Engineering University of Central Flida Orlando, FL

2 Abstract This paper focuses on the design of Full Adder circuits and the improvement of the technology from The is an integral part of modern computing and design innovations today focus mainly on size, speed and power consumption. These will be the main focus of this analysis because of the increased demand f improvement in these metrics. The most interesting designs discovered that improved this field of study were Bridge Style Minity Carrier and Double Gated Polarity Controlled Transists. Keywds, Full Adder, Power Consumption, Delay, Area, logic, bit, I. INTRODUCTION and FPU design are a hot topics in computing because they are at the heart of modern computers. The is responsible f all math functions, ranging from addition to multiplication, logic functions (OR, AND, INV) and comparison commands which can control and monit the computer's vital functions. Calculations of the these numbers are imptant both the computer's functionality and to a user s unique computing needs. This paper will examine 3 imptant metrics to modern design: power consumption, speed, and size because modern design is focused mainly on size and efficiency. An is an Arithmetic Logic Unit which is responsible f a variety of arithmetic and bitwise operations. An FPU is a Floating Point Unit and does the same job as the but with floating point numbers. They are imptant components of the Central Processing Unit CPU, in all computers. An takes in 4 input operands a control signal, A, B, and a Carry In and outputs a single value and a carry out. The input and output operands are tied to the data path between the CPU and the register so that values can be saved and used later in other operations. The is also connected to a control signal that determines what operation is being perfmed and which output the user will see. The data bus width is determined by the device, f example a 64 bit device would have 64 wires in its data path. This is because a wire can only hold one voltage at a time (either 1 0 represented by a set of voltages). The computer's architecture is made such that vital functions are closest to the motherboard to improve not only speed but consume less energy. One such rule of thumb that exists is, ITRS International technology Roadmap f Semiconducts, it is used as a standard f creating semiconduct devices. It determines the basic channel lengths, metal-oxide thickness and other parameters that are imptant to the functionality of semiconduct devices. Execution time is a measure of time used to describe the length of time a program in this case an operation takes to complete. Power dissipation is a term that refers to the power absbed by an element in a circuit, so in the CPU scope it is the power consumed by a component within the computer. Energy Consumption of the process is used as an umbrella term to describe the total power response of a CPU. The subsequent sections of this paper will further detail the advancement of technology. Section II will examine published papers of the subject of full adder designs between the years of 2002 and 2015 and Section III will present data to help visualize the progression of this technology. II. LITERATURE REVIEW From 2002 to 2008 there were many interesting designs researched. The benchmark system that will be used f comparison in this paper was realized using reconfigurable logic in 2002 [5]. The results showed a reduction in delay, area and power metrics compared to previous years examined. The design using only 8 transists absbs 0.5mW of power. The design was made using Multi-Input Floating Gate Mosfet Transists and was comprised of 4 stages, two 2-1 MUXes and a full adder f each. From there a design in 2004 decreased the total delay time 3.2 fold (from ps down to 8100 ps) [6]. This 32-bit decreased its size by 50% and its speed by 70% compared to its rival the NIOS 1.1. In 2005 a full adder using a tree structure architecture was realized using the newer 0.18µm energy efficient technology [4]. This design showed improvement in the output drivability of the circuit. With a 0.8V power supply it used only 0.918µw with 1.4ns of delay. The trend in improvement from 2002 to 2005 in both power and delay can be seen in the graphs presented within the data section below. The final design examined within this time frame was a full adder design using and Magnetic Tunnel Junction technology [7]. Compared to our benchmark 2002 system the total delay improved by fold (from ps to 219 ps). This design had no static power consumption with a dynamic power consumption of 16.3µw which was a 4.3 fold increase compared to standard technology. From massive leaps in most perfmance metrics were realized. A high speed carbon nanotube FET full adder in 2009 [2] clocks a total delay of ps, a 2000 plus fold increase from the benchmark 2002 system. With a 0.9V power supply this design consumed 3.4µw of power. This circuit along with many others after 2002 used the 0.18µm fabrication process. In 2011 a high speed fuller adder was developed using minity function and a bridge style f nano scale purposes [1]. This design had the lowest power consumption of all design examined, 0.294µw, an 11.5 fold increase from The fuller adder design from 2013 [3] using a double gating technique improves in size with a chip area of 2.86 µm 2, a 22 fold improvement when compared to This trend can be seen in the Area graph in the data section below. The digitally controlled double gating technique cuts down on delay time as well, f 8 bit operands 1

3 the total delay was found to be 171 ps. Finally the 2015 All Spin Logic based fuller adder [8] displays the best results f size and delay time. Total delay time was found to be 1.2 ps (a 182 fold increase from 2008) and the size of the chip was decreased to 0.69µm 2 (a 65 fold increase from 2011). The spintronic technology examined in this paper had no power leakage, which is an extradinary accomplishment. Spin device technology is still being researched fully and me designs will come about within the next 4 years that will further improve what our computers can do. III. DATA ANALYSIS This section will display graphs that show the trends in power consumption, area and delay time f full adder designs between 2002 and There is benchmark graphs that use the values from 2002 design as the comparison to switch subsequent year s perfmance is compared. In sht all the graphs show a significant trend of increase despite some outlying data. The reason f outlying data is due to a smaller sample size and the differing in the size (in bits) of the input and output operands of each design presented. Fig. 3. Shows the rate of change in chip area from years The values above are measured in micrometers 2. Fig. 4. Shows comparison of the power consumption benchmark of years after 2002 with the values from The increase is displayed as per fold as it was presented in section II above. Fig. 1. Shows the the rate of change in the power consumption metric from years Values above are measured in microwatts. Fig. 2. Shows the rate of change in the delay time metric from years Values above are measured in Picoseconds. Fig. 5. Shows comparison of the response time benchmark of years after 2002 with the values from 2002.The increase is displayed as per fold as it was presented in section II above. 2

4 IV. CONCLUSION In summation several trends in the design and improvement of full adder circuits from were observed above. The desire f a faster clock rate with increased data and process bus sizes ensures that power consumption, and size will always be among the top sought attributes f the modern computer market. Carbon nanotube FETS and spin device technology are just a few of the brilliant innovations of the last decade. Moe s Law from module 8 can be observed from the presented data. As the size of these micro calculating computers is decreasing exponentially year after year, the number of transists on chip is increasing. The supply voltages are also becoming increasingly small as the devices themselves are shrinking. The design f low voltage is challenging because as the supply voltage is decreased the circuit delays and switching times increase. The Ripple Carry Adder design and tree architecture from module 9 lecture had a common appearance throughout many of the designs examined in this paper. The simultaneous and sequential calculation of variables can save both energy and time. I learned from this study that the best designs are the simplest ones, it s the basics of semiconduct technology that appear most frequently. I noticed that the alteration of variables such gate length, and substrate material are imptant to the design process as they can affect delay and energy consumption. There was no other notable trends observed in the data, this is most likely due to the smaller sample size. As previously stated some fluctuations in the some of the data values was also because of the difference in size (bits) of the input operands of each design examined. The completion of this paper showed me that after taking this class I have a functioning understanding of computer architecture and the computing process that happens within. REFERENCES [1] K. Navi, H. H. Sajedi, R. F. Mirzaee, M. H. Moaiyeri, A. Jalali, O. Kavehei, High-speed full adder based on minity function and bridge style f nanoscale, Integration, the VLSI Journal, Volume 44, Issue 3, June 2011, Pages [2] K. Navi, A. Momeni, F. Sharifi, and P. Keshavarzian. Two novel ultra high speed carbon nanotube Full-Adder cells. IEICE Electronics Express 6, no. 19 (2009): [3] L. Amarú, P. E. Gaillardon, J. Zhang and G. De Micheli, "Power-Gated Differential Logic Style Based on Double-Gate Controllable-Polarity Transists," in IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 60, no. 10, pp , Oct [4] Chip-Hong Chang, Jiangmin Gu and Mingyan Zhang, "A review of 0.18-/spl mu/m full adder perfmances f tree structured arithmetic circuits," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 13, no. 6, pp , June [5] Srivastava, A.; Srinivasan, C., " design using reconfigurable logic," Circuits and Systems, MWSCAS The th Midwest Symposium on, vol.2, no., pp.ii-663,ii-666 vol.2, 4-7 Aug [6] Paul Metzgen, A high perfmance 32-bit f programmable logic, In Proceedings of the ACM/SIGDA 12th international symposium on Field programmable gate arrays (FPGA '04). ACM, pp , New Yk, NY, USA,2004. [7] Matsunaga, Shoun, Jun Hayakawa, Shoji Ikeda, Katsuya Miura, Haruhiro Hasegawa, Tetsuo Endoh, Hideo Ohno, and Takahiro Hanyu. "Fabrication of a nonvolatile full adder based on logic-in-memy architecture using magnetic tunnel junctions." Applied Physics Express 1, no. 9 (2008): [8] Q. An, L. Su, J. O. Klein, S. Le Beux, I. O'Conn and W. Zhao, "Full-adder circuit design based on all-spin logic device," Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH 15), Boston, MA, 2015, pp

5 Floating Point Architecture Name Device Datapath width (bits) #bits in operands Time f Operation Design Type Adder M ult ipl ier Flo atin g Poi nt ITRS Node (nm) Area (µm 2 ) Model of Chip used Energy/Power Consumption (µ W) else indicate low Full Adder Based On All Spin Logic Device 2015 #26 All-Spin Logic 1.2 ps 0.69 µm Full Adder Using Power-Gated Differential Logic Style Based On Double Gating 2013 #15 Double Gate FET 8 bit operands Double Gated Controllable Polarity Transists 171 ps 2.86 µm High Speed Full Adder F Nanoscale 2011 #10 5 bit operands Bridge Style Based On Minity Carriers 32 ps um Carbon Nano-Tube High Speed Full Adder Design 2009 #11 Nano- CNFET (Carbon Nano-tube) ps 0.18 µm 3.41 Non-Volatile Full Adder Using Magnetic Tunnel Junctions 2008 #25 MOS & MTJ Magnetic Tunnel Junctions And Logic-In-Memy 219 ps 0.18 µm um Hybrid Adder Cell Review 2005 #18 Tree Structured Arithmetic Circuits 231 ps 0.18 um um High Perfmance 32-Bit f Programmable Logic 2004 #24 32 bit operands Apex 20KE Logic Element 8100 ps Apex 20KE low Using Reconfigurable Logic 2002 #21 4 bit operands Multi Input Floating Gate N-Mosfet Add: ps 1.2 µm 500 4

An Analysis of Full Adders and Floating Point Units: Optimization using beyond CMOS Technology

An Analysis of Full Adders and Floating Point Units: Optimization using beyond CMOS Technology An Analysis of Full Adders and Floating Point Units: Optimization using beyond Technology Stephen Hudson Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362

More information

A Need for Speed with Reduced Power: An ideological look at how ALUs have Improved Over Time

A Need for Speed with Reduced Power: An ideological look at how ALUs have Improved Over Time A Need f Speed with Reduced Power: An ideological look at how s have Improved Over Time Zachary Bischoff Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362

More information

The Metrics and Designs of an Arithmetic Logic Function over

The Metrics and Designs of an Arithmetic Logic Function over The Metrics and Designs of an Arithmetic Logic Function over 2002-2015 Jimmy Vallejo Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362 Abstract There

More information

Analyzing Metrics of ALU Designs Traversing from Years 2002 to 2015

Analyzing Metrics of ALU Designs Traversing from Years 2002 to 2015 Analyzing Metrics of ALU Designs Traversing from Years 2002 to 2015 Brianna V. Thomason Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362 Email: brianna.thomason@knights.ucf.edu

More information

<Explanation of Improved the Quality of ALU And Ten Different Types of Designs for Decreasing Power Dissipation>

<Explanation of Improved the Quality of ALU And Ten Different Types of Designs for Decreasing Power Dissipation> Jihang Li Department of Electrical and Computer Engineering University of Central Flida Orlando,

More information

The Configurations and Implementations of Different Adders and Multipliers in ALUs throughout the Past Decade

The Configurations and Implementations of Different Adders and Multipliers in ALUs throughout the Past Decade The Configurations and Implementations of Different Adders and Multipliers in ALUs throughout the Past Decade Patrick Schexnayder Department of Electrical and Computer Engineering University of Central

More information

A Scientific Insight to Exemplary ALU s, Floating Point Designs, and Effective Processing Units

A Scientific Insight to Exemplary ALU s, Floating Point Designs, and Effective Processing Units A Scientific Insight to Exemplary ALU s, Floating Point Designs, and Effective Processing Units Ben Farris Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362

More information

Energy, Time, and Space Complexity Analysis of ALU Designs Spanning from 2000 to the Present

Energy, Time, and Space Complexity Analysis of ALU Designs Spanning from 2000 to the Present Energy, Time, and Space Complexity Analysis of ALU Designs Spanning from 2 to the Present Brittney Fry Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362

More information

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Seyedehsomayeh Hatefinasab * Department of Electrical and Computer Engineering, Payame Noor University, Sari, Iran. *Corresponding

More information

Design of Delay-Power Efficient Carry Select Adder using 3-T XOR Gate

Design of Delay-Power Efficient Carry Select Adder using 3-T XOR Gate Adv. Eng. Tec. Appl. 5, No. 1, 1-6 (2016) 1 Advanced Engineering Technology and Application An International Journal http://dx.doi.org/10.18576/aeta/050101 Design of Delay-Power Efficient Carry Select

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

Design of low threshold Full Adder cell using CNTFET

Design of low threshold Full Adder cell using CNTFET Design of low threshold Full Adder cell using CNTFET P Chandrashekar 1, R Karthik 1, O Koteswara Sai Krishna 1 and Ardhi Bhavana 1 1 Department of Electronics and Communication Engineering, MLR Institute

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Mohd Shahid M.Tech Student Al-Habeeb College of Engineering and Technology. Abstract Arithmetic logic unit (ALU) is an

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

Circuit Design of Reconfigurable Dynamic Logic. Based on Double Gate CNTFETs Focusing on. Number of States of Back Gate Voltages

Circuit Design of Reconfigurable Dynamic Logic. Based on Double Gate CNTFETs Focusing on. Number of States of Back Gate Voltages Contemporary Engineering Sciences, Vol. 7, 2014, no. 1, 39-52 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2014.3952 Circuit Design of Reconfigurable Dynamic Logic Based on Double Gate CNTFETs

More information

Design of Adders with Less number of Transistor

Design of Adders with Less number of Transistor Design of Adders with Less number of Transistor Mohammed Azeem Gafoor 1 and Dr. A R Abdul Rajak 2 1 Master of Engineering(Microelectronics), Birla Institute of Technology and Science Pilani, Dubai Campus,

More information

ALU Floating Point Design: An Exploration of Advancement

ALU Floating Point Design: An Exploration of Advancement ALU Floating Point Design: An Explation of Advancement Kyle Thatcher Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362 Abstract As time progresses technology

More information

Implementation of Mod-16 Counter using Verilog-A Model of CNTFET

Implementation of Mod-16 Counter using Verilog-A Model of CNTFET Technology Volume 1, Issue 2, October-December, 2013, pp. 30-36, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 ABSTRACT Implementation of Mod-16 Counter using Verilog-A Model of CNTFET

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Gaddam Sushil Raj B.Tech, Vardhaman College of Engineering. ABSTRACT: Arithmetic logic unit (ALU) is an important part of microprocessor. In

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control

Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control Shoun Matsunaga 1,2, Akira Katsumata 2, Masanori Natsui 1,2, Shunsuke Fukami 1,3, Tetsuo Endoh 1,2,4,

More information

Optimizing the Performance of Full adder, NAND by the Use of Parameters of Nano Tube Carbon Field Effect Transistor Technology

Optimizing the Performance of Full adder, NAND by the Use of Parameters of Nano Tube Carbon Field Effect Transistor Technology Optimizing the Performance of Full adder, NAND by the Use of Parameters of Nano Tube Carbon Field Effect Transistor Technology Seyedeh Somayeh Hatefinasab* Department of Computer Engineering, Payame Noor

More information

A Novel Quaternary Full Adder Cell Based on Nanotechnology

A Novel Quaternary Full Adder Cell Based on Nanotechnology I.J. Modern Education and Computer Science, 2015, 3, 19-25 Published Online March 2015 in MECS (http://www.mecs-press.org/) DOI: 10.5815/ijmecs.2015.03.03 A Novel Quaternary Full Adder Cell Based on Nanotechnology

More information

Simulation and Analysis of CNTFETs based Logic Gates in HSPICE

Simulation and Analysis of CNTFETs based Logic Gates in HSPICE Simulation and Analysis of CNTFETs based Logic Gates in HSPICE Neetu Sardana, 2 L.K. Ragha M.E Student, 2 Guide Electronics Department, Terna Engineering College, Navi Mumbai, India Abstract Conventional

More information

HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFECT TRANSISTOR

HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFECT TRANSISTOR HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFECT TRANSISTOR Ashkan Khatir 1, Shaghayegh Abdolahzadegan 2,Iman Mahmoudi Islamic Azad University,Science and Research Branch,

More information

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs International Academic Institute for Science and Technology International Academic Journal of Science and Engineering Vol. 2, No., 201, pp. 29-. ISSN 2-9 International Academic Journal of Science and Engineering

More information

A Novel High-Speed, Higher-Order 128 bit Adders for Digital Signal Processing Applications Using Advanced EDA Tools

A Novel High-Speed, Higher-Order 128 bit Adders for Digital Signal Processing Applications Using Advanced EDA Tools A Novel High-Speed, Higher-Order 128 bit Adders for Digital Signal Processing Applications Using Advanced EDA Tools K.Sravya [1] M.Tech, VLSID Shri Vishnu Engineering College for Women, Bhimavaram, West

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

CNTFET Based Energy Efficient Full Adder

CNTFET Based Energy Efficient Full Adder CNTFET Based Energy Efficient Full Adder Shaifali Ruhil 1, Komal Rohilla 2 Jyoti Sehgal 3 P.G. Student, Department of Electronics Engineering, Vaish College of Engineering, Rohtak, Haryana, India 1,2 Assistant

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Design of 64-Bit Low Power ALU for DSP Applications

Design of 64-Bit Low Power ALU for DSP Applications Design of 64-Bit Low Power ALU for DSP Applications J. Nandini 1, V.V.M.Krishna 2 1 M.Tech Scholar [VLSI Design], Department of ECE, KECW, Narasaraopet, A.P., India 2 Associate Professor, Department of

More information

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Saravana Maruthamuthu, Wireless Group Infineon Technologies India Private

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

ISSN Vol.06,Issue.05, August-2014, Pages:

ISSN Vol.06,Issue.05, August-2014, Pages: ISSN 2348 2370 Vol.06,Issue.05, August-2014, Pages:347-351 www.semargroup.org www.ijatir.org PG Scholar, Dept of ECE, Sreenidhi Institute of Science and Technology, Hyderabad, India. Abstract: This paper

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

A COMPARATIVE ANALYSIS OF LEAKAGE REDUCTION TECHNIQUES IN NANOSCALE CMOS ARITHMETIC CIRCUITS

A COMPARATIVE ANALYSIS OF LEAKAGE REDUCTION TECHNIQUES IN NANOSCALE CMOS ARITHMETIC CIRCUITS 1 A COMPARATIVE ANALYSIS OF LEAKAGE REDUCTION TECHNIQUES IN NANOSCALE CMOS ARITHMETIC CIRCUITS Frank Anthony Hurtado and Eugene John Department of Electrical and Computer Engineering The University of

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

LOW LEAKAGE CNTFET FULL ADDERS

LOW LEAKAGE CNTFET FULL ADDERS LOW LEAKAGE CNTFET FULL ADDERS Rajendra Prasad Somineni srprasad447@gmail.com Y Padma Sai S Naga Leela Abstract As the technology scales down to 32nm or below, the leakage power starts dominating the total

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells Reza Faghih Mirzaee, Mohammad Hossein Moaiyeri, Keivan Navi Abstract In this paper we present two novel 1-bit full adder cells in dynamic logic

More information

Design of an Energy Efficient 4-2 Compressor

Design of an Energy Efficient 4-2 Compressor IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Design of an Energy Efficient 4-2 Compressor To cite this article: Manish Kumar and Jonali Nath 2017 IOP Conf. Ser.: Mater. Sci.

More information

Performance of CMOS and Floating-Gate Full-Adders Circuits at Subthreshold Power Supply

Performance of CMOS and Floating-Gate Full-Adders Circuits at Subthreshold Power Supply Performance of CMOS and Floating-Gate Full-Adders Circuits at Subthreshold Power Supply Jon Alfredsson 1 and Snorre Aunet 2 1 Department of Information Technology and Media, Mid Sweden University SE-851

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

OPTIMIZATION OF LOW POWER ADDER CELLS USING 180NM TG TECHNOLOGY

OPTIMIZATION OF LOW POWER ADDER CELLS USING 180NM TG TECHNOLOGY OPTIMIZATION OF LOW POWER ADDER CELLS USING 180NM TG TECHNOLOGY Nitasha Jaura 1, Balraj Singh Sidhu 2, Neeraj Gill 3 1, 2, 3 Department Of Electronics and Communication Engineering, Giani Zail Singh Punjab

More information

Low Power and High Performance ALU using Dual Mode Transmission Gate Diffusion Input (DMTGDI)

Low Power and High Performance ALU using Dual Mode Transmission Gate Diffusion Input (DMTGDI) International Journal of Engineering and Advanced Technology (IJEAT) ISSN: 2249 8958, Volume-6 Issue-6, August 2017 Low Power and High Performance ALU using Dual Mode Transmission Gate Diffusion Input

More information

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com ISSN (ONLINE): 2395-695X POWER DELAY PRODUCT AND AREA REDUCTION OF

More information

Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs.

Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs. Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs. Kazi Muhammad Jameel Student, Electrical and Electronic Engineering, AIUB, Dhaka, Bangladesh ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

Performance Analysis Comparison of 4-2 Compressors in 180nm CMOS Technology

Performance Analysis Comparison of 4-2 Compressors in 180nm CMOS Technology IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Performance Analysis Comparison of 4-2 Compressors in 180nm CMOS Technology To cite this article: Manish Kumar and Jonali Nath

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION Mr. Snehal Kumbhalkar 1, Mr. Sanjay Tembhurne 2 Department of Electronics and Communication Engineering GHRAET, Nagpur, Maharashtra,

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

REDUCTION IN AREA AND POWER ANALYSIS WITH D-LATCH ENABLED CARRY SELECT ADDER USING GATE DIFFUSION INPUT

REDUCTION IN AREA AND POWER ANALYSIS WITH D-LATCH ENABLED CARRY SELECT ADDER USING GATE DIFFUSION INPUT International Journal of Latest Trends in Engineering and Technology Vol.(7)Issue(3), pp. 427-434 DOI: http://dx.doi.org/10.21172/1.73.556 e-issn:2278-621x REDUCTION IN AREA AND POWER ANALYSIS WITH D-LATCH

More information

ASIC Implementation of High Speed Area Efficient Arithmetic Unit using GDI based Vedic Multiplier

ASIC Implementation of High Speed Area Efficient Arithmetic Unit using GDI based Vedic Multiplier INTERNATIONAL JOURNAL OF APPLIED RESEARCH AND TECHNOLOGY ISSN 2519-5115 RESEARCH ARTICLE ASIC Implementation of High Speed Area Efficient Arithmetic Unit using GDI based Vedic Multiplier 1 M. Sangeetha

More information

Performance Optimization of Dynamic and Domino logic Carry Look Ahead Adder using CNTFET in 32nm technology

Performance Optimization of Dynamic and Domino logic Carry Look Ahead Adder using CNTFET in 32nm technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 5, Ver. I (Sep - Oct. 2015), PP 30-35 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Performance Optimization of Dynamic

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

A HIGH SPEED DYNAMIC RIPPLE CARRY ADDER

A HIGH SPEED DYNAMIC RIPPLE CARRY ADDER A HIGH SPEED DYNAMIC RIPPLE CARRY ADDER Y. Anil Kumar 1, M. Satyanarayana 2 1 Student, Department of ECE, MVGR College of Engineering, India. 2 Associate Professor, Department of ECE, MVGR College of Engineering,

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Variation-tolerant Non-volatile Ternary Content Addressable Memory with Magnetic Tunnel Junction

Variation-tolerant Non-volatile Ternary Content Addressable Memory with Magnetic Tunnel Junction JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.458 ISSN(Online) 2233-4866 Variation-tolerant Non-volatile Ternary

More information

DESIGN OF HIGH EFFICIENT AND LOW POWER MULTIPLIER

DESIGN OF HIGH EFFICIENT AND LOW POWER MULTIPLIER Int. J. Engg. Res. & Sci. & Tech. 2015 Balaje et al., 2015 Research Paper ISSN 2319-5991 www.ijerst.com Special Issue, Vol. 1, No. 3, May 2015 International Conference on Advance Research and Innovation

More information

High Performance 128 Bits Multiplexer Based MBE Multiplier for Signed-Unsigned Number Operating at 1GHz

High Performance 128 Bits Multiplexer Based MBE Multiplier for Signed-Unsigned Number Operating at 1GHz High Performance 128 Bits Multiplexer Based MBE Multiplier for Signed-Unsigned Number Operating at 1GHz Ravindra P Rajput Department of Electronics and Communication Engineering JSS Research Foundation,

More information

Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells

Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells Ke Chen, Jie Han and Fabrizio Lombardi Abstract This paper proposes two non-volatile content addressable memory (CAM)

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

A Review on Low Power Compressors for High Speed Arithmetic Circuits

A Review on Low Power Compressors for High Speed Arithmetic Circuits A Review on Low Power Compressors for High Speed Arithmetic Circuits Siva Subramanian R 1, Suganya Thevi T 2, Revathy M 3 P.G. Student, Department of ECE, PSNA College of, Dindigul, Tamil Nadu, India 1

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Efficient CNFET-based Rectifiers for Nanoelectronics

Efficient CNFET-based Rectifiers for Nanoelectronics Efficient CNFET-based Rectifiers for Nanoelectronics Mohammad Hossein Moaiyeri Nanotechnology and Quantum Computing Lab., Shahid Keivan Navi Faculty of Electrical and Computing Engineering, Shahid Omid

More information

A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member, IEEE

A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member, IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member,

More information

MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN

MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN M. Manoranjani 1 and T. Ravi 2 1 M.Tech, VLSI Design, Sathyabama University, Chennai, India 2 Department of Electronics

More information

On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells

On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells Ke Chen, Jie Han, and Fabrizio Lombardi Abstract This brief investigates

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

Design of High performance and Low Power 16T Full Adder Cells for Subthreshold Voltage Technology

Design of High performance and Low Power 16T Full Adder Cells for Subthreshold Voltage Technology Design of igh performance and Low Power 16T ull dder Cells for Subthreshold Voltage Technology Ebrahim Pakniyat, Seyyed Reza Talebiyan bstract This paper presents two new structures of 1-bit full adder.

More information

State of the Art Computational Ternary Logic Currnent- Mode Circuits Based on CNTFET Technology

State of the Art Computational Ternary Logic Currnent- Mode Circuits Based on CNTFET Technology International Journal of Computer (IJC) ISSN 37-453 (Print & Online) Global Society of Scientific Research and Researchers http://ijcjournal.org/ State of the Art Computational Ternary Logic Currnent-

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS

QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS Anu Varghese 1,Binu K Mathew 2 1 Department of Electronics and Communication Engineering, Saintgits College Of Engineering, Kottayam 2 Department of Electronics

More information

Energy Efficient and High Performance 64-bit Arithmetic Logic Unit using 28nm Technology

Energy Efficient and High Performance 64-bit Arithmetic Logic Unit using 28nm Technology Journal From the SelectedWorks of Kirat Pal Singh Summer August 28, 2015 Energy Efficient and High Performance 64-bit Arithmetic Logic Unit using 28nm Technology Shruti Murgai, ASET, AMITY University,

More information

Comparison of adiabatic and Conventional CMOS

Comparison of adiabatic and Conventional CMOS Comparison of adiabatic and Conventional CMOS Gurpreet Kaur M.Tech Scholar(ECE), Narinder Sharma HOD (EEE) Amritsar college of Engineering and Technology, Amritsar Abstract:-The Power dissipation in conventional

More information

NOVEL 11-T FULL ADDER IN 65NM CMOS TECHNOLOGY

NOVEL 11-T FULL ADDER IN 65NM CMOS TECHNOLOGY NOVEL 11-T FULL ADDER IN 65NM CMOS TECHNOLOGY C. M. R. Prabhu, Tan Wee Xin Wilson and Thangavel Bhuvaneswari Faculty of Engineering and Technology Multimedia University Melaka, Malaysia E-Mail: c.m.prabu@mmu.edu.my

More information

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC 1 S.Varalakshmi, 2 M. Rajmohan, M.Tech, 3 P. Pandiaraj, M.Tech 1 M.Tech Department of ECE, 2, 3 Asst.Professor, Department of ECE, 1,

More information

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review SUPRATIM SAHA Assistant Professor, Department of ECE, Subharti Institute of Technology

More information

Efficient logic architectures for CMOL nanoelectronic circuits

Efficient logic architectures for CMOL nanoelectronic circuits Efficient logic architectures for CMOL nanoelectronic circuits C. Dong, W. Wang and S. Haruehanroengra Abstract: CMOS molecular (CMOL) circuits promise great opportunities for future hybrid nanoscale IC

More information

High-speed Multiplier Design Using Multi-Operand Multipliers

High-speed Multiplier Design Using Multi-Operand Multipliers Volume 1, Issue, April 01 www.ijcsn.org ISSN 77-50 High-speed Multiplier Design Using Multi-Operand Multipliers 1,Mohammad Reza Reshadi Nezhad, 3 Kaivan Navi 1 Department of Electrical and Computer engineering,

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August-2013 1156 Novel Low Power Shrikant and M Pattar, High H V Ravish Speed Aradhya 8T Full Adder Abstract - Full adder

More information

A Novel Architecture for Quantum-Dot Cellular Automata Multiplexer

A Novel Architecture for Quantum-Dot Cellular Automata Multiplexer www.ijcsi.org 55 A Novel Architecture for Quantum-Dot Cellular Automata Multiplexer Arman Roohi 1, Hossein Khademolhosseini 2, Samira Sayedsalehi 3, Keivan Navi 4 1,2,3 Department of Computer Engineering,

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

Power Optimization for Ripple Carry Adder with Reduced Transistor Count

Power Optimization for Ripple Carry Adder with Reduced Transistor Count e-issn 2455 1392 Volume 2 Issue 5, May 2016 pp. 146-154 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com Power Optimization for Ripple Carry Adder with Reduced Transistor Count Swarnalika

More information

A Racetrack Memory Based In-memory Booth Multiplier for Cryptography Application

A Racetrack Memory Based In-memory Booth Multiplier for Cryptography Application A Racetrack Memory Based In-memory Booth Multiplier for Cryptography Application Tao Luo, ei Zhang 2, Bingsheng He and Douglas Maskell School of Computer Engineering, Nanyang Technological University,

More information

A Novel Hybrid Full Adder using 13 Transistors

A Novel Hybrid Full Adder using 13 Transistors A Novel Hybrid Full Adder using 13 Transistors Lee Shing Jie and Siti Hawa binti Ruslan Department of Electrical and Electronic Engineering, Faculty of Electric & Electronic Engineering Universiti Tun

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

NOVEL DESIGN OF 10T FULL ADDER WITH 180NM CMOS TECHNOLOGY

NOVEL DESIGN OF 10T FULL ADDER WITH 180NM CMOS TECHNOLOGY International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 9 (2017) pp. 1407-1414 Research India Publications http://www.ripublication.com NOVEL DESIGN OF 10T FULL ADDER

More information

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT Kaushal Kumar Nigam 1, Ashok Tiwari 2 Department of Electronics Sciences, University of Delhi, New Delhi 110005, India 1 Department of Electronic

More information

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2 Minimization of Leakage Current of 6T SRAM using Optimal Technology Sumit Kumar Srivastava 1, Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology, Uttar Pradesh Technical

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information