The Metrics and Designs of an Arithmetic Logic Function over

Size: px
Start display at page:

Download "The Metrics and Designs of an Arithmetic Logic Function over"

Transcription

1 The Metrics and Designs of an Arithmetic Logic Function over Jimmy Vallejo Department of Electrical and Computer Engineering University of Central Flida Orlando, FL Abstract There has been many modifications made to the Arithmetic Logic Functions throughout The Arithmetic Logic Function is like the heart to the CPU if it s removed there s no way of getting infmation across to memy. It s been modified by implementing floating points, clock gating, pipeline gating, FIR structure, and the QCA full adder. Every implementation is designed to be faster and better than the next. The smaller amount of power consumption and area needed the me superi becomes the design. F example, the ALU floating point consists of 32 bit wd width in a IEEE 754 single precision with an energy of 2.048mW (45nm) to a mW (15nm). It had two difficult challenges one being power density and the other being area f CMOS devices, but results have proved that the 15nm is better than the 45nm technology. The 15nm technology recommends 3-4 fold improvement energy efficiency over the 45nm technology. Using the 15nm technology also gives us about a 30% less cell area. Keywds power consumption, wd width, ALU, clock gating, execution time, area cell, and clock rate. I. INTRODUCTION The ALU stands f arithmetic logic unit. It allows the computer to add, subtract, and to perfm basic logical operations such as AND/OR. It also uses an electrical circuit which prefms arithmetic and bitwise logical operations using binary numbers. The ALU is a very imptant piece in a CPU (central processing unit), FPU (floating point unit), even in a GPU (graphics processing unit); every CPU, FPU, GPU could contain multiple ALUs. Sometimes the ALU is Sub-divided into two units. F example, one could be put in the fixed point operations and the other in the floating point operations. Every ALU has direct input and output access to the process controller, main memy and input/output devices. Input is data being inserted into the ALU in der to make it function. The output is what we get as a solution after the simulation has been completed. Inputs and outputs move along an electrical path called a bus. The input necessitates of an instruction that contains an operation code sometimes a fmat code. The operation code is also referred to as the opcode. The op-code tells the ALU what operation to perfm. F instance, two operands might be added/subtracted together compared logically. This is then joined with the op-code and states if it s a fixed-point a floating-point instruction. Afterwards the output is placed in a stage register which has settings that determine if the operation was completed successfully. Some of the key components that are discussed in this paper are imptant to every computer system s perfmance. The data bus wd width determines how much infmation may be carried in a single instruction. F instance, if a process is called a 16 bit process then it s a 16 bit data bus wd width. An ITRS technology node is the half pitch between two adjacent DRAM metal lines, but a company may be referring to the Lmin of a MOSFET of 130nm. Execution time is the time that it takes f a single instruction to be executed. It also makes up the last half of the instruction cycle. In der to calculate execution time you need to multiply the Instruction count times the CPI times one over the Clock Rate ( [Instr. Count] X [CPI] X [1/Clock Rate] ). Power Dissipation occurs when central processing units consume electrical energy and dissipate this energy by the action of the switching devices energy lost due to heating of the material. Its calculated easily by multiplying current times voltage ([ P=I x V ]). Energy Consumption of a process is the amount of power the computer needs in der to operate properly. The design of the ALU is a critical part of the process and new approaches to speeding up instruction are being made today. F example, many engineers use Ripple Carry Adders (RCA), Carry Look Ahead Adders (CLA), and Carry Select Adder (CSA) to implement into their designs to make the addition, subtraction, multiplication, division process me efficient. The way a RCA wks is if want to add two 32 bit numbers Every ALU is designed differently in der f it to function faster and me efficient. The faster the ALU operates the better. In Section II there are ten ALU designs spanning from I will be discussing some of the metrics implemented into ALUs over the years and also their functionality s. II. LITERATURE REVIEW The energy analysis of a floating unit was brought fth in 2015 by IEEE Southeast Conference [1]. The energy analysis consisted of 32 bits in a floating point IEEE 754 single precision with an energy of 2.048mW (45nm) to a mW (15nm). The power density and area were two difficult Page 1 of 5

2 challenges f CMOS devices but results have proved that a 15nm is better than the 45nm technology. The 15nm technology recommends 3-4 fold improvement energy efficiency over the 45nm technology. Using 15nm technology also gives us about 30% less cell area. Design and Evaluation of an Ultra-Area-Efficient Fault- Tolerant QCA Full was discussed in 2015 by Microelectronics Journal. It involved a wd width of 1 bit operand with an Ultra-area-efficient fault-tolerant QCA full adder and a cell area of 18nm^2. The full adder achieves significant advances over the previous designs in terms of cell count and area. The effectiveness of the adder is verified through implementation of a 4-bit carry save adder [2]. Design & Analysis of a 16 bit RISC Process Using low Power Pipelining was discussed in 2015 International Conference it explains the pipelining scheme f high throughput FIR [6]. By implementing pipelining multipliers and adders into the design it achieves very high throughput. F a 2-Dimensional pipeline gating technique it makes the designed FIR power aware of the accuracy of the operands. This model was operated with a wd width of 16 bit (Operands) a Carry Save Adder and a Virtex 6 FPGA devices (XC6VLX 550t) chip. Energy-Efficient Multiplier-Less Discrete Convolver through Probabilistic Domain Transfmation was brought fth in 2014 Monterey, Califnia. The design implemented with Virtex 6 FPGA devices (XC6VLX550t) requires 4.09 µs to perfm a convolution and dissipates only nj in energy consumption at 250 MHz [3]. Also by computing infmation with probabilistic domain enables me basic operations are to be perfmed to accomplish higher energyefficiency at a lower hardware price. This discovery has made probabilistic convolver even me valuable when the problem size increases. Clock Gating Based Energy Efficient ALU Design and Implementation on FPGA was spoken about in an International Conference article in 2013 [7]. This design uses a width of 4 bit (Datapath) with a Clock Gating Based Energy Efficient ALU, a Design 90nm (RITRS Node) Spartan-3, and low power consumption. This design has a Clock power of 50%, 41.46%, 51.30%, 55.15% and 55.78% of total dynamic power the device operating frequency is 100MHz, 1GHz, 10GHz, 100GHz and 1 THz. After clock gating techniques in ALU are done, the clock power reduces to 17.85%, 23.39%, 26.49% and 27.19% of total dynamic power. When the device operating frequency is 1GHz, 10GHz, 100GHz and 1 THz. Then we use clock gating there is 72.77% reduction in clock power, 38.88% reduction in IOs power, and 44% reduction in dynamic power in comparison to power consumption without using clock gating. Improving Power-awareness of Pipelined Array Multipliers using 2-Dimensional Pipeline Gating and its Application to FIR Design was brought into consideration by the VLSI Journal in 2006 [5]. This pipeline array consists of a 16 bit (Operands), an Array Multiplier, 0.24um chip, and low power consumption. This implementation was to gate the clocks to registers in both vertical direction and hizontal direction. F multipliers using 2 s complement representation sign extension, which tend to waste me power and longer delays; could be avoided by using this system. Simulation results have shown that an average power saving of 66% and latency reduction of 47% can be achieved under this implementation. A 4-GHz 300-mW 64-bit integer execution ALU with dual supply voltages in 90-nm CMOS was brought fth in Solid- State Circuits, IEEE Journal in 2005 [9]. This design has a wd width of 64 bit (Operands), a Carry adder, with a 0.073mm^2 cell area, and energy consumption of 300mW as stated in the first sentence. A high perfmance 32-bit ALU f programmable logic was discussed in the 12th international symposium on Field programmable gate arrays in 2004 [10]. It s operated with a wd width of 32 bit (Operands), a high perfmance 32-bit ALU, a logic Altera s NIOS 2.0 Process chip, and has a low power consumption. High Throughput Power-aware FIR Filter Design based on Fine-grain Pipeline Multipliers and Adders were discussed in the 2003 IEEE Annual Symposium on VLSI, in Tampa, Flida [4]. The design had a wd width of 16 bit (Operands), a FIR Structure multiplier, a cell area of 0.24um, a low power consumption. A Fast ALU Design in CMOS f Voltage Operation was deliberated in VLSI Design in 2002 [8]. This implantation has a wd width of 4 bit (Operands), a Ripple Carry Adder, a technology node of 1.2nm n-well CMOS, and a low power consumption. III. DATA ANALYSIS Figure 1. The bits in operands f different processs from

3 Figure 2. Nodes Range from 2013 to Figure 4. No Execution Time. Figure 3. The area of different process throughout the years of Figure 5. The Power and Energy Consumption graph from 2005 to The other years indicated as so unable to graph. Metrics covered by various papers which are suitable f plotting: Data bus width (bits) vs. Year ITRS technology node (nm) vs. Year Execution time per ALU Floating Point Unit operation (nsec) vs. Year Power Energy vs. Year Fig. 1. <Write a Caption in your own wds below each Figure.>

4 IV. CONCLUSION In this study I have learned many ways to implement a design in der to achieve power consumption and a large range of different designs from F instance, in a floating point f a CMOS with a 32 bit data bus it s better to use a 15nm node instead of a 45nm because it uses up to 30% less of an area cell and it achieves a 3 to 4 fold in energy improvement. Also f an ALU one way to achieve cell count and area is by using a full adder. It shows over the years how the ALUs are implemented either using pipeline gating, floating points, clock gating, FIR Structure, and the most interesting the QCA full adder. REFERENCES [1] S. Salehi, and R. F. DeMara, "Energy and Area Analysis of a Floating-Point Unit in 15nm CMOS Process Technology," in Proceedings of IEEE SoutheastCon 2015 (SECon-2015), Ft Lauderdale, FL, April 9-12, [2] A. Roohi, R. F. DeMara, and N. Khoshavi, "Design and Evaluation of an Ultra-Area-Efficient Fault-Tolerant QCA Full Adder," Microelectronics Journal, Vol. 46, No. 6, pp , June 2015, [3] M. Alawad, Y. Bai, R. F. DeMara, and M. Lin, Energy-Efficient Multiplier-Less Discrete Convolver through Probabilistic Domain Transfmation, in Proceedings of 22nd ACM/SIGDA International Symposium on Field- Programmable Gate Arrays (FPGA-14), pp , Monterey, Califnia, USA, February 27-28, [4] J. Di, J. S. Yuan, and R. DeMara, "High Throughput Power-aware FIR Filter Design based on Fine-grain Pipeline Multipliers and Adders," in Proceedings of the 2003 IEEE Annual Symposium on VLSI (ISVLSI-03), pp , Tampa, Flida, U.S.A., February 20-21, [5] J. Di, J. S. Yuan, and R. F. DeMara, "Improving Power-awareness of Pipelined Array Multipliers using 2- Dimensional Pipeline Gating and its Application to FIR Design," Integration, the VLSI Journal, Vol. 39, No. 2, March, 2006, pp [6] Trivedi, Priyanka; Tripathi, Rajan Prasad, "Design & analysis of 16 bit RISC process using low power pipelining," Computing, Communication & Automation (ICCCA), 2015 International Conference on, vol., no., pp.1294,1297, May [7] Pandey, B.; Yadav, J.; Pattanaik, M.; Rajia, N., "Clock gating based energy efficient ALU design and implementation on FPGA," Energy Efficient Technologies f Sustainability (ICEETS), 2013 International Conference on, vol., no., pp.93,97, April [8] A. Srivastava and D. Govindarajan, A Fast ALU Design in CMOS f Voltage Operation, VLSI Design, vol. 14, no. 4, pp , [9] Mathew, S.K.; Anders, M.A.; Bloechel, B.; Trang Nguyen; Krishnamurthy, R.K.; Bkar, S., "A 4-GHz 300-mW 64-bit integer execution ALU with dual supply voltages in 90- nm CMOS," Solid-State Circuits, IEEE Journal of, vol.40, no.1, pp.44,51, Jan [10] Paul Metzgen, A high perfmance 32-bit ALU f programmable logic, In Proceedings of the ACM/SIGDA 12th international symposium on Field programmable gate arrays (FPGA '04). ACM, pp , New Yk, NY, USA,2004.

5 TABLE I. <WRITE A CAPTION IN YOUR OWN WORDS ABOVE EACH TABLE.> ALU Floating Point Architecture Name Datapath width (bits) #bits in operands Time f Operation Design Type Adder Multiplier Floating Point ITRS Technology Node (nm) Area Model of Chip used Energy/Power Consumption(W J) else indicate low high Energy and Area Analysis of a Floating-Point Unit [1] 32 bits (Operands) IEEE-754 Single Precision 45nm and 15nm (ITRS Node) 2.048mW (45nm) mW (15nm) Ultra-area-efficient faulttolerant QCA full adder [2] 1 bit (Operands) Ultra-areaefficient faulttolerant QCA full adder 18nm^2 (Cell Area) low Energy-Efficient Multiplier- Less Discrete Convolver through Probabilistic Domain Transfmation [3] Design & Analysis of 16 bit RISC Process Using Power Pipelining [6] 128 bits (Operands) 16 bit (Operands) Carry Save Adder 4.09 μs Energy- Efficient Multiplier Virtex 6 FPGA devices (XC6VLX550t) (Model of Chip used) Virtex 6 FPGA devices (XC6VLX 550t) (Model of Chip used) nj Clock Gating Based Energy Efficient ALU Design and Implementation on FPGA [7] 4 bit (Datapath) Clock Gating Based Energy Efficient ALU Design 90nm (RITRS Node) Spartan-3 A 4-GHz 300-mW 64-bit integer execution ALU with dual supply voltages in 90- nm CMOS[9] High Throughput Poweraware FIR Filter Design based on Fine-grain Pipeline Multipliers and Adders[4] Improving Power-awareness of Pipelined Array Multipliers using 2- Dimensional Pipeline Gating and its Application to FIR Design[5] 64 bit (Operands) Carry 0.073mm^2 300mW 16 bit (Operands) FIR Structure 0.24um 16 bit (Operands) Array Multiplier 0.24um A Fast ALU Design in CMOS f Voltage Operation[8] 4 bit (Operands) Ripple Carry Adder 1.2nm n-well CMOS A high perfmance 32-bit ALU f programmable logic[10] 32 bit (Operands) A high perfmance 32-bit ALU f programmable logic Altera s NIOS 2.0 Process

<Explanation of Improved the Quality of ALU And Ten Different Types of Designs for Decreasing Power Dissipation>

<Explanation of Improved the Quality of ALU And Ten Different Types of Designs for Decreasing Power Dissipation> Jihang Li Department of Electrical and Computer Engineering University of Central Flida Orlando,

More information

Analyzing Metrics of ALU Designs Traversing from Years 2002 to 2015

Analyzing Metrics of ALU Designs Traversing from Years 2002 to 2015 Analyzing Metrics of ALU Designs Traversing from Years 2002 to 2015 Brianna V. Thomason Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362 Email: brianna.thomason@knights.ucf.edu

More information

Energy, Time, and Space Complexity Analysis of ALU Designs Spanning from 2000 to the Present

Energy, Time, and Space Complexity Analysis of ALU Designs Spanning from 2000 to the Present Energy, Time, and Space Complexity Analysis of ALU Designs Spanning from 2 to the Present Brittney Fry Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362

More information

A Scientific Insight to Exemplary ALU s, Floating Point Designs, and Effective Processing Units

A Scientific Insight to Exemplary ALU s, Floating Point Designs, and Effective Processing Units A Scientific Insight to Exemplary ALU s, Floating Point Designs, and Effective Processing Units Ben Farris Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362

More information

The Configurations and Implementations of Different Adders and Multipliers in ALUs throughout the Past Decade

The Configurations and Implementations of Different Adders and Multipliers in ALUs throughout the Past Decade The Configurations and Implementations of Different Adders and Multipliers in ALUs throughout the Past Decade Patrick Schexnayder Department of Electrical and Computer Engineering University of Central

More information

ALU Floating Point Design: An Exploration of Advancement

ALU Floating Point Design: An Exploration of Advancement ALU Floating Point Design: An Explation of Advancement Kyle Thatcher Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362 Abstract As time progresses technology

More information

A Study of The Advancement of CMOS ALU & Full Adder Circuit Design For Modern Design

A Study of The Advancement of CMOS ALU & Full Adder Circuit Design For Modern Design A Study of The Advancement of & Full Adder Circuit Design F Modern Design Bruce Hardy BR759875 Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362 Abstract

More information

An Analysis of Full Adders and Floating Point Units: Optimization using beyond CMOS Technology

An Analysis of Full Adders and Floating Point Units: Optimization using beyond CMOS Technology An Analysis of Full Adders and Floating Point Units: Optimization using beyond Technology Stephen Hudson Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362

More information

A Need for Speed with Reduced Power: An ideological look at how ALUs have Improved Over Time

A Need for Speed with Reduced Power: An ideological look at how ALUs have Improved Over Time A Need f Speed with Reduced Power: An ideological look at how s have Improved Over Time Zachary Bischoff Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362

More information

HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE

HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE R.ARUN SEKAR 1 B.GOPINATH 2 1Department Of Electronics And Communication Engineering, Assistant Professor, SNS College Of Technology,

More information

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design of Wallace Tree Multiplier using Compressors K.Gopi Krishna *1, B.Santhosh 2, V.Sridhar 3 gopikoleti@gmail.com Abstract

More information

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA Shruti Dixit 1, Praveen Kumar Pandey 2 1 Suresh Gyan Vihar University, Mahaljagtapura, Jaipur, Rajasthan, India 2 Suresh Gyan Vihar University,

More information

To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002.

To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002. To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002. 3.5. A 1.3 GSample/s 10-tap Full-rate Variable-latency Self-timed FIR filter

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

Anitha R 1, Alekhya Nelapati 2, Lincy Jesima W 3, V. Bagyaveereswaran 4, IEEE member, VIT University, Vellore

Anitha R 1, Alekhya Nelapati 2, Lincy Jesima W 3, V. Bagyaveereswaran 4, IEEE member, VIT University, Vellore IOSR Journal of Electronics and Communication Engineering (IOSRJECE) ISSN: 2278-2834 Volume 1, Issue 4 (May-June 2012), PP 33-37 Comparative Study of High performance Braun s Multiplier using FPGAs Anitha

More information

Research Article Design of a Novel Optimized MAC Unit using Modified Fault Tolerant Vedic Multiplier

Research Article Design of a Novel Optimized MAC Unit using Modified Fault Tolerant Vedic Multiplier Research Journal of Applied Sciences, Engineering and Technology 8(7): 900-906, 2014 DOI:10.19026/rjaset.8.1051 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted: June

More information

Design of Delay-Power Efficient Carry Select Adder using 3-T XOR Gate

Design of Delay-Power Efficient Carry Select Adder using 3-T XOR Gate Adv. Eng. Tec. Appl. 5, No. 1, 1-6 (2016) 1 Advanced Engineering Technology and Application An International Journal http://dx.doi.org/10.18576/aeta/050101 Design of Delay-Power Efficient Carry Select

More information

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER 1 CH.JAYA PRAKASH, 2 P.HAREESH, 3 SK. FARISHMA 1&2 Assistant Professor, Dept. of ECE, 3 M.Tech-Student, Sir CR Reddy College

More information

Design and Analysis of CMOS Based DADDA Multiplier

Design and Analysis of CMOS Based DADDA Multiplier www..org Design and Analysis of CMOS Based DADDA Multiplier 12 P. Samundiswary 1, K. Anitha 2 1 Department of Electronics Engineering, Pondicherry University, Puducherry, India 2 Department of Electronics

More information

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder High Speed Vedic Multiplier Designs Using Novel Carry Select Adder 1 chintakrindi Saikumar & 2 sk.sahir 1 (M.Tech) VLSI, Dept. of ECE Priyadarshini Institute of Technology & Management 2 Associate Professor,

More information

Power Efficient Optimized Arithmetic and Logic Unit Design on FPGA

Power Efficient Optimized Arithmetic and Logic Unit Design on FPGA From the SelectedWorks of Innovative Research Publications IRP India Winter December 1, 2014 Power Efficient Optimized Arithmetic and Logic Unit Design on FPGA Innovative Research Publications, IRP India,

More information

Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm

Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm Vijay Dhar Maurya 1, Imran Ullah Khan 2 1 M.Tech Scholar, 2 Associate Professor (J), Department of

More information

DESIGN OF HIGH SPEED AND ENERGY EFFICIENT CARRY SKIP ADDER

DESIGN OF HIGH SPEED AND ENERGY EFFICIENT CARRY SKIP ADDER DESIGN OF HIGH SPEED AND ENERGY EFFICIENT CARRY SKIP ADDER Mr.R.Jegn 1, Mr.R.Bala Murugan 2, Miss.R.Rampriya 3 M.E 1,2, Assistant Professor 3, 1,2,3 Department of Electronics and Communication Engineering,

More information

FPGA IMPLEMENATION OF HIGH SPEED AND LOW POWER CARRY SAVE ADDER

FPGA IMPLEMENATION OF HIGH SPEED AND LOW POWER CARRY SAVE ADDER ARTICLE FPGA IMPLEMENATION OF HIGH SPEED AND LOW POWER CARRY SAVE ADDER VS. Balaji 1*, Har Narayan Upadhyay 2 1 Department of Electronics & Instrumentation Engineering, INDIA 2 Dept.of Electronics & Communication

More information

A Comparative Study on Direct form -1, Broadcast and Fine grain structure of FIR digital filter

A Comparative Study on Direct form -1, Broadcast and Fine grain structure of FIR digital filter A Comparative Study on Direct form -1, Broadcast and Fine grain structure of FIR digital filter Jaya Bar Madhumita Mukherjee Abstract-This paper presents the VLSI architecture of pipeline digital filter.

More information

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN An efficient add multiplier operator design using modified Booth recoder 1 I.K.RAMANI, 2 V L N PHANI PONNAPALLI 2 Assistant Professor 1,2 PYDAH COLLEGE OF ENGINEERING & TECHNOLOGY, Visakhapatnam,AP, India.

More information

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm V.Sandeep Kumar Assistant Professor, Indur Institute Of Engineering & Technology,Siddipet

More information

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST ǁ Volume 02 - Issue 01 ǁ January 2017 ǁ PP. 06-14 Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST Ms. Deepali P. Sukhdeve Assistant Professor Department

More information

Webpage: Volume 3, Issue V, May 2015 ISSN

Webpage:  Volume 3, Issue V, May 2015 ISSN Design of power efficient 8 bit arithmetic and logic unit on FPGA using tri-state logic Siddharth Singh Parihar 1, Rajani Gupta 2 1 Kailash Narayan Patidar College of Science and Technology, Baghmugaliya,

More information

A Survey on Power Reduction Techniques in FIR Filter

A Survey on Power Reduction Techniques in FIR Filter A Survey on Power Reduction Techniques in FIR Filter 1 Pooja Madhumatke, 2 Shubhangi Borkar, 3 Dinesh Katole 1, 2 Department of Computer Science & Engineering, RTMNU, Nagpur Institute of Technology Nagpur,

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

PROMINENT SPEED ARITHMETIC UNIT ARCHITECTURE FOR PROFICIENT ALU

PROMINENT SPEED ARITHMETIC UNIT ARCHITECTURE FOR PROFICIENT ALU PROMINENT SPEED ARITHMETIC UNIT ARCHITECTURE FOR PROFICIENT ALU R. Rashvenee, D. Roshini Keerthana, T. Ravi and P. Umarani Department of Electronics and Communication Engineering, Sathyabama University,

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

DESIGN AND IMPLEMENTATION OF AREA EFFICIENT, LOW-POWER AND HIGH SPEED 128-BIT REGULAR SQUARE ROOT CARRY SELECT ADDER

DESIGN AND IMPLEMENTATION OF AREA EFFICIENT, LOW-POWER AND HIGH SPEED 128-BIT REGULAR SQUARE ROOT CARRY SELECT ADDER DESIGN AND IMPLEMENTATION OF AREA EFFICIENT, LOW-POWER AND HIGH SPEED 128-BIT REGULAR SQUARE ROOT CARRY SELECT ADDER MURALIDHARAN.R [1],AVINASH.P.S.K [2],MURALI KRISHNA.K [3],POOJITH.K.C [4], ELECTRONICS

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. VII (Mar - Apr. 2014), PP 14-18 High Speed, Low power and Area Efficient

More information

A Novel Approach For Designing A Low Power Parallel Prefix Adders

A Novel Approach For Designing A Low Power Parallel Prefix Adders A Novel Approach For Designing A Low Power Parallel Prefix Adders R.Chaitanyakumar M Tech student, Pragati Engineering College, Surampalem (A.P, IND). P.Sunitha Assistant Professor, Dept.of ECE Pragati

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES 44 CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES 3.1 INTRODUCTION The design of high-speed and low-power VLSI architectures needs efficient arithmetic processing units,

More information

FPGA Implementation of Area Efficient and Delay Optimized 32-Bit SQRT CSLA with First Addition Logic

FPGA Implementation of Area Efficient and Delay Optimized 32-Bit SQRT CSLA with First Addition Logic FPGA Implementation of Area Efficient and Delay Optimized 32-Bit with First Addition Logic eet D. Gandhe Research Scholar Department of EE JDCOEM Nagpur-441501,India Venkatesh Giripunje Department of ECE

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

Analysis of Parallel Prefix Adders

Analysis of Parallel Prefix Adders Analysis of Parallel Prefix Adders T.Sravya M.Tech (VLSI) C.M.R Institute of Technology, Hyderabad. D. Chandra Mohan Assistant Professor C.M.R Institute of Technology, Hyderabad. Dr.M.Gurunadha Babu, M.Tech,

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

Low Power and High Performance ALU using Dual Mode Transmission Gate Diffusion Input (DMTGDI)

Low Power and High Performance ALU using Dual Mode Transmission Gate Diffusion Input (DMTGDI) International Journal of Engineering and Advanced Technology (IJEAT) ISSN: 2249 8958, Volume-6 Issue-6, August 2017 Low Power and High Performance ALU using Dual Mode Transmission Gate Diffusion Input

More information

Design and Analysis of Improved Sparse Channel Adder with Optimization of Energy Delay

Design and Analysis of Improved Sparse Channel Adder with Optimization of Energy Delay ISSN:1991-8178 Australian Journal of Basic and Applied Sciences Journal home page: www.ajbasweb.com Design and Analysis of Improved Sparse Channel Adder with Optimization of Energy Delay 1 Prajoona Valsalan

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Parallel Prefix Han-Carlson Adder

Parallel Prefix Han-Carlson Adder Parallel Prefix Han-Carlson Adder Priyanka Polneti,P.G.STUDENT,Kakinada Institute of Engineering and Technology for women, Korangi. TanujaSabbeAsst.Prof, Kakinada Institute of Engineering and Technology

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS ( 1 Dr.V.Malleswara rao, 2 K.V.Ganesh, 3 P.Pavan Kumar) 1 Professor &HOD of ECE,GITAM University,Visakhapatnam. 2 Ph.D

More information

Comparative Analysis of Multiplier in Quaternary logic

Comparative Analysis of Multiplier in Quaternary logic IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 3, Ver. I (May - Jun. 2015), PP 06-11 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Comparative Analysis of Multiplier

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

Area and Delay Efficient Carry Select Adder using Carry Prediction Approach

Area and Delay Efficient Carry Select Adder using Carry Prediction Approach Journal From the SelectedWorks of Kirat Pal Singh July, 2016 Area and Delay Efficient Carry Select Adder using Carry Prediction Approach Satinder Singh Mohar, Punjabi University, Patiala, Punjab, India

More information

Faster and Low Power Twin Precision Multiplier

Faster and Low Power Twin Precision Multiplier Faster and Low Twin Precision V. Sreedeep, B. Ramkumar and Harish M Kittur Abstract- In this work faster unsigned multiplication has been achieved by using a combination High Performance Multiplication

More information

A CASE STUDY OF CARRY SKIP ADDER AND DESIGN OF FEED-FORWARD MECHANISM TO IMPROVE THE SPEED OF CARRY CHAIN

A CASE STUDY OF CARRY SKIP ADDER AND DESIGN OF FEED-FORWARD MECHANISM TO IMPROVE THE SPEED OF CARRY CHAIN Volume 117 No. 17 2017, 91-99 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu A CASE STUDY OF CARRY SKIP ADDER AND DESIGN OF FEED-FORWARD MECHANISM

More information

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 07, 2015 ISSN (online): 2321-0613 Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse

More information

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension Monisha.T.S 1, Senthil Prakash.K 2 1 PG Student, ECE, Velalar College of Engineering and Technology

More information

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 69 CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 4.1 INTRODUCTION Multiplication is one of the basic functions used in digital signal processing. It requires more

More information

A Novel High Performance 64-bit MAC Unit with Modified Wallace Tree Multiplier

A Novel High Performance 64-bit MAC Unit with Modified Wallace Tree Multiplier Proceedings of International Conference on Emerging Trends in Engineering & Technology (ICETET) 29th - 30 th September, 2014 Warangal, Telangana, India (SF0EC024) ISSN (online): 2349-0020 A Novel High

More information

Design of Low Power High Speed Adders in McCMOS Technique

Design of Low Power High Speed Adders in McCMOS Technique Design of Low High Speed Adders in McCMOS Technique Shikha Sharma 1, Rajesh Bathija 2, RS. Meena 3, Akanksha Goswami 4 P.G. Student, Department of EC Engineering, Geetanjali Institute of Technical Studies,

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 4.72 International Journal of Advance Engineering and Research Development Volume 4, Issue 4, April -2017 e-issn (O): 2348-4470 p-issn (P): 2348-6406 High Speed

More information

By Dayadi Lakshmaiah, Dr. M. V. Subramanyam & Dr. K. Satya Prasad Jawaharlal Nehru Technological University, India

By Dayadi Lakshmaiah, Dr. M. V. Subramanyam & Dr. K. Satya Prasad Jawaharlal Nehru Technological University, India Global Journal of Researches in Engineering: F Electrical and Electronics Engineering Volume 14 Issue 9 Version 1.0 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

Computer Arithmetic (2)

Computer Arithmetic (2) Computer Arithmetic () Arithmetic Units How do we carry out,,, in FPGA? How do we perform sin, cos, e, etc? ELEC816/ELEC61 Spring 1 Hayden Kwok-Hay So H. So, Sp1 Lecture 7 - ELEC816/61 Addition Two ve

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

USING EMBEDDED PROCESSORS IN HARDWARE MODELS OF ARTIFICIAL NEURAL NETWORKS

USING EMBEDDED PROCESSORS IN HARDWARE MODELS OF ARTIFICIAL NEURAL NETWORKS USING EMBEDDED PROCESSORS IN HARDWARE MODELS OF ARTIFICIAL NEURAL NETWORKS DENIS F. WOLF, ROSELI A. F. ROMERO, EDUARDO MARQUES Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

SPIRO SOLUTIONS PVT LTD

SPIRO SOLUTIONS PVT LTD VLSI S.NO PROJECT CODE TITLE YEAR ANALOG AMS(TANNER EDA) 01 ITVL01 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control 02 ITVL02

More information

Technical Paper. Samuel Naffziger. Hewlett-Packard Co., Fort Collins, CO

Technical Paper. Samuel Naffziger. Hewlett-Packard Co., Fort Collins, CO Technical Paper A Sub-Nanosecond 0.5µm 64b Adder Design Hewlett-Packard Co., Fort Collins, CO A sub-nanosecond 64b adder in 0.5µm CMOS forms the basis for the integer and floating point execution units.

More information

Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors

Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors M.Satheesh, D.Sri Hari Student, Dept of Electronics and Communication Engineering, Siddartha Educational Academy

More information

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION Riyaz Khan 1, Mohammed Zakir Hussain 2 1 Department of Electronics and Communication Engineering, AHTCE, Hyderabad (India) 2 Department

More information

An Efficient Baugh-WooleyArchitecture forbothsigned & Unsigned Multiplication

An Efficient Baugh-WooleyArchitecture forbothsigned & Unsigned Multiplication An Efficient Baugh-WooleyArchitecture forbothsigned & Unsigned Multiplication PramodiniMohanty VLSIDesign, Department of Electrical &Electronics Engineering Noida Institute of Engineering & Technology

More information

ASIC Implementation of High Speed Area Efficient Arithmetic Unit using GDI based Vedic Multiplier

ASIC Implementation of High Speed Area Efficient Arithmetic Unit using GDI based Vedic Multiplier INTERNATIONAL JOURNAL OF APPLIED RESEARCH AND TECHNOLOGY ISSN 2519-5115 RESEARCH ARTICLE ASIC Implementation of High Speed Area Efficient Arithmetic Unit using GDI based Vedic Multiplier 1 M. Sangeetha

More information

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier M.Shiva Krushna M.Tech, VLSI Design, Holy Mary Institute of Technology And Science, Hyderabad, T.S,

More information

QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS

QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS Anu Varghese 1,Binu K Mathew 2 1 Department of Electronics and Communication Engineering, Saintgits College Of Engineering, Kottayam 2 Department of Electronics

More information

Low-Power Multipliers with Data Wordlength Reduction

Low-Power Multipliers with Data Wordlength Reduction Low-Power Multipliers with Data Wordlength Reduction Kyungtae Han, Brian L. Evans, and Earl E. Swartzlander, Jr. Dept. of Electrical and Computer Engineering The University of Texas at Austin Austin, TX

More information

Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic

Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic Basthana Kumari PG Scholar, Dept. of Electronics and Communication Engineering, Intell Engineering College,

More information

An Analysis of Multipliers in a New Binary System

An Analysis of Multipliers in a New Binary System An Analysis of Multipliers in a New Binary System R.K. Dubey & Anamika Pathak Department of Electronics and Communication Engineering, Swami Vivekanand University, Sagar (M.P.) India 470228 Abstract:Bit-sequential

More information

JDT EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS

JDT EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS JDT-002-2013 EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS E. Prakash 1, R. Raju 2, Dr.R. Varatharajan 3 1 PG Student, Department of Electronics and Communication Engineeering

More information

Glitch Power Reduction for Low Power IC Design

Glitch Power Reduction for Low Power IC Design This document is an author-formatted work. The definitive version for citation appears as: N. Weng, J. S. Yuan, R. F. DeMara, D. Ferguson, and M. Hagedorn, Glitch Power Reduction for Low Power IC Design,

More information

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE A Novel Approach of -Insensitive Null Convention Logic Microprocessor Design J. Asha Jenova Student, ECE Department, Arasu Engineering College, Tamilndu,

More information

A Novel Design of High-Speed Carry Skip Adder Operating Under a Wide Range of Supply Voltages

A Novel Design of High-Speed Carry Skip Adder Operating Under a Wide Range of Supply Voltages A Novel Design of High-Speed Carry Skip Adder Operating Under a Wide Range of Supply Voltages Jalluri srinivisu,(m.tech),email Id: jsvasu494@gmail.com Ch.Prabhakar,M.tech,Assoc.Prof,Email Id: skytechsolutions2015@gmail.com

More information

TIME EFFICIENT PARITY GENERATOR BASED ON QUANTUM-DOT CELLULAR AUTOMATA

TIME EFFICIENT PARITY GENERATOR BASED ON QUANTUM-DOT CELLULAR AUTOMATA International Journal of Civil Engineering and Technology (IJCIET) Volume 10, Issue 02, February 2019, pp. 715-723, Article ID: IJCIET_10_02_069 Available online at http://www.iaeme.com/ijciet/issues.asp?jtype=ijciet&vtype=10&itype=02

More information

CARRY SAVE COMMON MULTIPLICAND MONTGOMERY FOR RSA CRYPTOSYSTEM

CARRY SAVE COMMON MULTIPLICAND MONTGOMERY FOR RSA CRYPTOSYSTEM American Journal of Applied Sciences 11 (5): 851-856, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.851.856 Published Online 11 (5) 2014 (http://www.thescipub.com/ajas.toc) CARRY

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Project Background High speed multiplication is another critical function in a range of very large scale integration (VLSI) applications. Multiplications are expensive and slow

More information

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers Dharmapuri Ranga Rajini 1 M.Ramana Reddy 2 rangarajini.d@gmail.com 1 ramanareddy055@gmail.com 2 1 PG Scholar, Dept

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm

Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm M. Suhasini, K. Prabhu Kumar & P. Srinivas Department of Electronics & Comm. Engineering, Nimra College of Engineering

More information

Study and Analysis of Full Adder in Different Sub-Micron Technologies with an Area Efficient Layout of 4-Bit Ripple Carry Adder

Study and Analysis of Full Adder in Different Sub-Micron Technologies with an Area Efficient Layout of 4-Bit Ripple Carry Adder Study and Analysis of Full Adder in Different Sub-Micron Technologies with an Area Efficient Layout of 4-Bit Ripple Carry Adder Sayan Chatterjee M.Tech Student [VLSI], Dept. of ECE, Heritage Institute

More information

Low Power and Area EfficientALU Design

Low Power and Area EfficientALU Design Low Power and Area EfficientALU Design A.Sowmya, Dr.B.K.Madhavi ABSTRACT: This project work undertaken, aims at designing 8-bit ALU with carry select adder. An arithmetic logic unit acts as the basic building

More information

EXPERIMENTS ON DESIGNING LOW POWER DECIMATION FILTER FOR MULTISTANDARD RECEIVER ON HETEROGENEOUS TARGETS

EXPERIMENTS ON DESIGNING LOW POWER DECIMATION FILTER FOR MULTISTANDARD RECEIVER ON HETEROGENEOUS TARGETS 17th European Signal Processing Conference (EUSIPCO 2009) Glasgow, Scotland, August 24-28, 2009 EXPERIMENTS ON DESIGNING LOW POWER DECIMATION FILTER FOR MULTISTANDARD RECEIVER ON HETEROGENEOUS TARGETS

More information

Energy Efficient Memory Design using Low Voltage Complementary Metal Oxide Semiconductor on 28nm FPGA

Energy Efficient Memory Design using Low Voltage Complementary Metal Oxide Semiconductor on 28nm FPGA Indian Journal of Science and Technology, Vol 8(17), DOI: 10.17485/ijst/20/v8i17/76237, August 20 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Energy Efficient Memory Design using Low Voltage Complementary

More information

Low Power and Area Efficient Implementation of B CD Adder on FPGA

Low Power and Area Efficient Implementation of B CD Adder on FPGA Low Power and Area Efficient Implementation of B CD Adder on FPGA * Shambhavi Mishra#l, Gaurav Verma #M.Tech. Scholar, Department of Electronics & Communication, * Assistant Professor, Department of Electronics

More information

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen Abstract A new low area-cost FIR filter design is proposed using a modified Booth multiplier based on direct form

More information

CS4617 Computer Architecture

CS4617 Computer Architecture 1/26 CS4617 Computer Architecture Lecture 2 Dr J Vaughan September 10, 2014 2/26 Amdahl s Law Speedup = Execution time for entire task without using enhancement Execution time for entire task using enhancement

More information

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS Satish Mohanakrishnan and Joseph B. Evans Telecommunications & Information Sciences Laboratory Department of Electrical Engineering

More information

Performance Analysis of a 64-bit signed Multiplier with a Carry Select Adder Using VHDL

Performance Analysis of a 64-bit signed Multiplier with a Carry Select Adder Using VHDL Performance Analysis of a 64-bit signed Multiplier with a Carry Select Adder Using VHDL E.Deepthi, V.M.Rani, O.Manasa Abstract: This paper presents a performance analysis of carrylook-ahead-adder and carry

More information

REDUCTION IN AREA AND POWER ANALYSIS WITH D-LATCH ENABLED CARRY SELECT ADDER USING GATE DIFFUSION INPUT

REDUCTION IN AREA AND POWER ANALYSIS WITH D-LATCH ENABLED CARRY SELECT ADDER USING GATE DIFFUSION INPUT International Journal of Latest Trends in Engineering and Technology Vol.(7)Issue(3), pp. 427-434 DOI: http://dx.doi.org/10.21172/1.73.556 e-issn:2278-621x REDUCTION IN AREA AND POWER ANALYSIS WITH D-LATCH

More information

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog 1 P.Sanjeeva Krishna Reddy, PG Scholar in VLSI Design, 2 A.M.Guna Sekhar Assoc.Professor 1 appireddigarichaitanya@gmail.com,

More information

Design and Implementation of Parallel Micro-programmed FIR Filter Using Efficient Multipliers on FPGA

Design and Implementation of Parallel Micro-programmed FIR Filter Using Efficient Multipliers on FPGA 2018 IJSRST Volume 4 Issue 2 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology Design and Implementation of Parallel Micro-programmed FIR Filter Using Efficient Multipliers

More information

Design and simulation of a QCA 2 to 1 multiplexer

Design and simulation of a QCA 2 to 1 multiplexer Design and simulation of a QCA 2 to 1 multiplexer V. MARDIRIS, Ch. MIZAS, L. FRAGIDIS and V. CHATZIS Information Management Department Technological Educational Institute of Kavala GR-65404 Kavala GREECE

More information