Implementation of Mod-16 Counter using Verilog-A Model of CNTFET

Size: px
Start display at page:

Download "Implementation of Mod-16 Counter using Verilog-A Model of CNTFET"

Transcription

1 Technology Volume 1, Issue 2, October-December, 2013, pp , IASTER Online: , Print: ABSTRACT Implementation of Mod-16 Counter using Verilog-A Model of CNTFET 1 Kunal K Sharma, 2 P. Reena Monica 1 M. Tech VLSI Design, 2 Assistant Professor (Sr.) SENSE, VIT University Chennai Campus, Chennai, Tamil Nadu, India Carbon Nanotube Field Effect Transistor (CNTFET) has a wide scope in the field of Nanotechnology. These are currently considered as the replacement to the Si MOSFET. These devices show the ballistic transport in the current conduction. In this paper, a Verilog-A formulation of the Stanford compact model is used for the simulation of different logic gates in Cadence and finally Mod-16 Counter is simulated. The outputs of the simulations have been extensively studied. When then outputs of CNTFET are compared with that of silicon technology, CNTFET shows much better device performance in terms of power. Keywords: CNTFET, Cadence, Logic Gates, Mod-16 Counter. 1. INTRODUCTION For many decades, MOSFETs are being used as a basic building block for most of the electronic devices [1]. But we know that the number of transistors on integrated circuits doubles approximately every two years as per Moore s law. Therefore, to keep pace with this trend of smaller device size, high device density, less power dissipation and high device speed CNTFET is considered as an alternate choice of MOSFET [2]. In recent years, many efforts have been made in the modeling and simulation of molecular devices. And the results indicate that the current VLSI fabrication techniques like lithographic patterning can hardly be extended to a few nm in gate channel region. Even if such device can be fabricated, the cost for sophisticated lithography and patterning techniques keep skyrocketing thereby leading to the end of Moore s law. Hence there should be a radical paradigm shift from existing silicon technology to the molecular devices. Hence CNTFETs are being explored to prolong Moore s law. Several such nano devices are currently being researched, such as resonant tunneling diode (RTD), the single-electron transistor (SET) and spin transistor (SPINFET) [4]. In general, nano-science research focuses primarily on the search for new physical concepts and on creating the technology necessary for the development of nano devices. But the physical characteristics of CNTFETs, as well as their versatility and maturity, put CNTFETs among the most promising molecular devices[5]. In this paper, a Verilog-A formulation of the Stanford compact model has been used for the simulation of different logic gates in Cadence. Initially, a brief introduction about the Carbon nano tube electronics is given, followed by the detailed explanation of structure and modeling aspects of CNTFET. Finally, the simulation results of various CNTFET logic circuits such as NOT gate, two input NAND gate, three input NAND gate, JK flip flop and Mod-16 Counter have been discussed. 30

2 2. CARBON NANOTUBE ELECTRONICS Carbon Nano Tubes are nothing but hollow cylinders in which one or more concentric layers of carbon atoms in a honey comb lattice arrangement are present [6]. Carbon nanotubes exist as a macro-molecule of carbon, analogous to a sheet of graphite rolled into a cylinder. SWCNT (Single Walled Carbon Nano Tube) and MWCNT (Multi Walled Carbon Nano Tube) are the two types of CNT[7]-[9]. SWCNT can further be classified into Arm-Chair, Zigzag and Chiral which can be described by the chiral vector (n, m), where n and m are the integers of the vector equation R = na1 + ma2. Graphene SWCNT MWCNT Fig. 1.Three Types Of Carbon Nano Tube Fig. 2. Representation of Chiral Vector The values of n and m determine the chirality, or "twist" of the nanotube. The chirality in turn affects the diameter and hence the conductance of the carbon nanotube. A SWNT is considered metallic if the value n - m is divisible by three, otherwise the nanotube is semiconducting. 3. CARBON NANOTUBE FIELD EFFECT TRANSISTOR (CNTFET) CNTFET is basically MOSFET like structure which has semiconducting carbon nanotube as conducting channel. It can also be defined as a field-effect transistor that utilizes a single carbon nanotube or an array of carbon nanotubes as the channel material instead of bulk silicon in the traditional MOSFET structure. [10] Fig. 3.Carbon Nanotube Field Effect Transistor 31

3 We have already discussed that CNT can be single walled or multi walled depending on the number of concentric nanotube cylinders. The length of the nanotube acts as the channel of a transistor between metal source and drain. The width of the nanotube cannot be changed to increase the current drive because once a nanotube is grown, it has fixed diameter. But we can increase the current drive of CNTFET by adding more nanotubes in parallel. A carbon nanotube s band-gap is directly affected by its chirality and diameter. The diameter and different Vth of the CNT can be calculated based on the following equations [11]-[13] (1) where = is the interatomic distance between each carbon atom and its neighbour. 4. DESIGN DETAILS AND SIMULATION RESULTS In this section, logic circuits and simulations are discussed one by one. Those logic circuits which are used to simulate the Mod-16 Counter are presented first. 4.1 NOT Gate (2) In fig. 4 and 5, the schematic diagram and the simulation output of a NOT gate using CNTFET are shown respectively. In fig. 5, we can easily observe that the output provides LOW and HIGH values when the input is HIGH and LOW respectively. Fig. 4. Not Gate Using CNTFET Fig. 5. Simulation Output of Not Gate 32

4 4.2 Two-Input NAND Gate Fig. 6 and fig. 7 represent the schematic diagram and simulation output of a 2- input NAND gate. From the simulated output waveform we can observe that if either inputs or any one of the input is LOW then the output is high otherwise the output is LOW. Fig. 6. two-input nand gate using CNTFET 4.3 Three Input NAND Gate Fig. 7. Simulation Output of 2-Input Nand Gate The schematic and the simulation output of a 3-input NAND gate is shown in fig. 8 and fig. 9 respectively. If all the three inputs or any one of the input is LOW then the output is HIGH if all the three inputs are HIGH, then the output will be LOW as shown in fig. 9. Fig. 8. Three-Input Nand Gate Using CNFTFET 33

5 4.4 JK Flip-Flop Fig. 9. Simulation Output of 3-Input Nand Gate JK flip flop is the most versatile of the basic flip flops. It can perform the functions of the set/reset flipflop and has the advantage that there are no ambiguous states [1]. It can also perform toggling action as a T flip-flop if J and K are tied together. If J and K are different then the output Q takes the value of J at the next clock edge. If J and K are both low then no change occurs. If J and K are both high, at the clock edge then the output will toggle from one state to the other as shown in fig. 11. Here, the schematic of JK flip-flop is made with the help of cell structure of the gates created from the schematic of the respective gates as shown in fig. 10. Fig. 10 jk flip-flop using cntfet Fig. 11 Simulated Output of JK Flip-Flop Using CNTFET 34

6 4.5 Mod-16 Counter A counter is a sequential digital circuit whose output progresses in a predictable repeating pattern with each beat of the clock. In mod-16 counter 4 JK flip-flops are used in their toggling mode (J and K both are HIGH). In this type of counter the output of one flip-flop acts as a clock for the next flip-flop. Fig. 12.Mod-16 Counter Using Cntfet 5. CONCLUSION Fig. 13. Simulated Output Of Mod-16 Counter Carbon Nanotube Field Effect Transistor provides a vast field of research in the area of nanoelectronics. A number of different logic designs can be implemented using CNTFET concept which will be very useful in designing of different digital and mixed signal circuits. In this work, Mod-16 Counter along with other logic designs is simulated in Cadence using the Verilog-A model of CNTFET. These logic circuits using CNTFET, promises a better alternative for MOSFETS. 35

7 REFERENCES [1] Mojtaba Jamalizadeh, FazelSharifi, Mohammad Hossein Moaiyeri, Keivan Navi and Omid Hashemipour, Five new MVL current mode differential absolute value circuits based on carbon nanotube field effect transistors (CNTFETs),Nano-Micro letters, Vol. 2, No. 4, , [2] Mariya Lyubomirova Spasova, George Vasilev Angelov and Marin Hristov Hristov, Simulation of 1T DRAM Memory Cell with Verilog-A Model of CNTFET in Cadence, ANNUAL JOURNAL OF ELECTRONICS, 2012, [3] T. Dang, L. Anghel, R. Leveugle, CNTFET Basics and Simulation, IEEE Trans. Elec.dev., [4] V. Saravanan, V. Kannan, Design of Cascade Counter using Carbon Nanotube Field Effect Transistor Technology, European Journal of Scientific Research X Vol. 91 No 1 November, 2012, pp [5] Stanford University CNFET model Website, Stanford University, Stanford, CA, (10/22/11). [6] V. Sridevi and T. Jayanthy, Carbon Nanotube Field Effect Transistor Based Mod-16 Counter, European Journal of Scientific Research, X Vol.67 No.1 (2011), pp [7] Fabien Pr egaldiny, Compact Modeling and Applications of CNTFETs for Analog and Digital Circuit Design, IEEE Trans. Elec.dev., pp , [8] Fabien Pr egaldiny, Design Oriented Compact Models for CNTFETs, IEEE Trans. Elec.dev., [9] Paul L.McEuen, Michael Fuhrer, Hongkun Park, Single walled carbon nano tube Electronics, IEEE Trans. on Nano Technology, [10] S. A. Ebrahimi, P. Keshavarzian, M. SalariSardoueyeh, A. Shojaei, B. NajiGivi, Ultra-Low Power and High Speed Full Adder Based-on CNTFET, European Journal of Scientific Research X Vol.80 No.3 (2012), pp [11] Rahman A, Jing Guo, Datta S and Lundstrom M S,Theory of Ballistic Nanotransistors, IEEE Transactions on Electron Devices, Vol. 50, No. 10,(2003), pp [12] Raychowdhury A and Roy K, Carbon Nanotube Based Voltage-Mode Multiple-Valued Logic Design, IEEE Trans. Nanotechnology, Vol. 4, No. 2(2005), pp [13] Han J and Jonker P, A System Architecture Solution for Unreliable Nanoelectronic Devices, IEEE Trans. Nanotechnology, Vol.1,(2002), pp

Design of Low Power Baugh Wooley Multiplier Using CNTFET

Design of Low Power Baugh Wooley Multiplier Using CNTFET Technology Volume 1, Issue 2, October-December, 2013, pp. 50-54, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 Design of Low Power Baugh Wooley Multiplier Using CNTFET Nayana Remesh,

More information

Simulation and Analysis of CNTFETs based Logic Gates in HSPICE

Simulation and Analysis of CNTFETs based Logic Gates in HSPICE Simulation and Analysis of CNTFETs based Logic Gates in HSPICE Neetu Sardana, 2 L.K. Ragha M.E Student, 2 Guide Electronics Department, Terna Engineering College, Navi Mumbai, India Abstract Conventional

More information

Design of low threshold Full Adder cell using CNTFET

Design of low threshold Full Adder cell using CNTFET Design of low threshold Full Adder cell using CNTFET P Chandrashekar 1, R Karthik 1, O Koteswara Sai Krishna 1 and Ardhi Bhavana 1 1 Department of Electronics and Communication Engineering, MLR Institute

More information

A Novel Quaternary Full Adder Cell Based on Nanotechnology

A Novel Quaternary Full Adder Cell Based on Nanotechnology I.J. Modern Education and Computer Science, 2015, 3, 19-25 Published Online March 2015 in MECS (http://www.mecs-press.org/) DOI: 10.5815/ijmecs.2015.03.03 A Novel Quaternary Full Adder Cell Based on Nanotechnology

More information

Performance Optimization of Dynamic and Domino logic Carry Look Ahead Adder using CNTFET in 32nm technology

Performance Optimization of Dynamic and Domino logic Carry Look Ahead Adder using CNTFET in 32nm technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 5, Ver. I (Sep - Oct. 2015), PP 30-35 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Performance Optimization of Dynamic

More information

IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online): 2321-0613 Implementation of Ternary Logic Gates using CNTFET Rahul A. Kashyap 1 1 Department of

More information

Performance Evaluation of CNTFET Based Ternary Basic Gates and Half Adder

Performance Evaluation of CNTFET Based Ternary Basic Gates and Half Adder Performance Evaluation of CNTFET Based Ternary Basic Gates and Half Adder Gaurav Agarwal 1, Amit Kumar 2 1, 2 Department of Electronics, Institute of Engineering and Technology, Lucknow Abstract: The shrinkage

More information

LOW LEAKAGE CNTFET FULL ADDERS

LOW LEAKAGE CNTFET FULL ADDERS LOW LEAKAGE CNTFET FULL ADDERS Rajendra Prasad Somineni srprasad447@gmail.com Y Padma Sai S Naga Leela Abstract As the technology scales down to 32nm or below, the leakage power starts dominating the total

More information

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Seyedehsomayeh Hatefinasab * Department of Electrical and Computer Engineering, Payame Noor University, Sari, Iran. *Corresponding

More information

Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs.

Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs. Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs. Kazi Muhammad Jameel Student, Electrical and Electronic Engineering, AIUB, Dhaka, Bangladesh ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

Efficient CNFET-based Rectifiers for Nanoelectronics

Efficient CNFET-based Rectifiers for Nanoelectronics Efficient CNFET-based Rectifiers for Nanoelectronics Mohammad Hossein Moaiyeri Nanotechnology and Quantum Computing Lab., Shahid Keivan Navi Faculty of Electrical and Computing Engineering, Shahid Omid

More information

HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFECT TRANSISTOR

HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFECT TRANSISTOR HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFECT TRANSISTOR Ashkan Khatir 1, Shaghayegh Abdolahzadegan 2,Iman Mahmoudi Islamic Azad University,Science and Research Branch,

More information

Optimizing the Performance of Full adder, NAND by the Use of Parameters of Nano Tube Carbon Field Effect Transistor Technology

Optimizing the Performance of Full adder, NAND by the Use of Parameters of Nano Tube Carbon Field Effect Transistor Technology Optimizing the Performance of Full adder, NAND by the Use of Parameters of Nano Tube Carbon Field Effect Transistor Technology Seyedeh Somayeh Hatefinasab* Department of Computer Engineering, Payame Noor

More information

Carbon Nanotubes FET based high performance Universal logic using Cascade Voltage Switch Logic

Carbon Nanotubes FET based high performance Universal logic using Cascade Voltage Switch Logic IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 7, Issue 5, Ver. I (Sep.-Oct. 2017), PP 40-47 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Carbon Nanotubes FET based high

More information

Design and Analysis of High Frame Rate Capable Active Pixel Sensor by Using CNTFET Devices for Nanoelectronics

Design and Analysis of High Frame Rate Capable Active Pixel Sensor by Using CNTFET Devices for Nanoelectronics Design and Analysis of High Frame Rate Capable Active Pixel Sensor by Using CNTFET Devices for Nanoelectronics http://dx.doi.org/10.3991/ijes.v3i4.5185 Subrata Biswas, Poly Kundu, Md. Hasnat Kabir, Sagir

More information

Carbon Nanotube Based Circuit Designing: A Review

Carbon Nanotube Based Circuit Designing: A Review International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 13, Issue 1 (January 2017), PP.56-61 Carbon Nanotube Based Circuit Designing: A

More information

ISSN Vol.06,Issue.05, August-2014, Pages:

ISSN Vol.06,Issue.05, August-2014, Pages: ISSN 2348 2370 Vol.06,Issue.05, August-2014, Pages:347-351 www.semargroup.org www.ijatir.org PG Scholar, Dept of ECE, Sreenidhi Institute of Science and Technology, Hyderabad, India. Abstract: This paper

More information

CNTFET Based Energy Efficient Full Adder

CNTFET Based Energy Efficient Full Adder CNTFET Based Energy Efficient Full Adder Shaifali Ruhil 1, Komal Rohilla 2 Jyoti Sehgal 3 P.G. Student, Department of Electronics Engineering, Vaish College of Engineering, Rohtak, Haryana, India 1,2 Assistant

More information

International Journal on Emerging Technologies 6(1): 24-29(2015) ISSN No. (Print) : ISSN No. (Online) :

International Journal on Emerging Technologies 6(1): 24-29(2015) ISSN No. (Print) : ISSN No. (Online) : e t International Journal on Emerging Technologies 6(1): 24-29(2015) ISSN No. (Print) : 0975-8364 ISSN No. (Online) : 2249-3255 Simulation and Analysis of Carbon Nanotube Based cum CMOS based Folded cascode

More information

A NOVEL CNTFET CIRCUIT DESIGN TECHNIQUE TO IMPLEMENT KLEENE S THREE-VALUED LOGIC

A NOVEL CNTFET CIRCUIT DESIGN TECHNIQUE TO IMPLEMENT KLEENE S THREE-VALUED LOGIC A NOVEL CNTFET CIRCUIT DESIGN TECHNIQUE TO IMPLEMENT KLEENE S THREE-VALUED LOGIC * Reza Gholamrezaei and Peiman Keshavarzian and Mojtaba Mohajeri Department of Computer Engineering, Kerman Branch, Islamic

More information

CNTFET Based Analog and Digital Circuit Designing: A Review

CNTFET Based Analog and Digital Circuit Designing: A Review International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) CNTFET Based Analog and Digital Circuit Designing: A Review Neelofer Afzal *(Department Of Electronics and Communication Engineering,

More information

BEHAVIORAL MODELLING OF CMOSFETs AND CNTFETs BASED LOW NOISE AMPLIFIER

BEHAVIORAL MODELLING OF CMOSFETs AND CNTFETs BASED LOW NOISE AMPLIFIER DOI: 1.21917/ijme.215.17 BEHAVIORAL MODELLING OF CMOSFETs AND CNTFETs BASED LOW NOISE AMPLIFIER Navaid Z. Rizvi 1, Rajesh Mishra 2 and Prashant Gupta 3 1,2,3 School of Information and Communication Technology,

More information

Designing a Novel Ternary Multiplier Using CNTFET

Designing a Novel Ternary Multiplier Using CNTFET I.J. Modern Education and Computer Science, 2014, 11, 45-51 Published Online November 2014 in MECS (http://www.mecs-press.org/) DOI: 10.5815/ijmecs.2014.11.06 Designing a Novel Ternary Using CNTFET Nooshin

More information

[Sardana*,5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

[Sardana*,5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY CARBON NANO TUBE FIELD EFFECT TRANSISTOR:A REVIEW Neetu Sardana(M.E Student)*, Professor L.K.Ragha(Guide) Electronics Engineering

More information

SIMULATION STUDY OF BALLISTIC CARBON NANOTUBE FIELD EFFECT TRANSISTOR

SIMULATION STUDY OF BALLISTIC CARBON NANOTUBE FIELD EFFECT TRANSISTOR SIMULATION STUDY OF BALLISTIC CARBON NANOTUBE FIELD EFFECT TRANSISTOR RAHMAT SANUDIN IEEE NATIONAL SYMPOSIUM ON MICROELECTRONICS 2005 21-24 NOVEMBER 2005 KUCHING SARAWAK Simulation Study of Ballistic Carbon

More information

Design of Cntfet Based Ternary 2x2 Sram Memory Array for Low Power Application

Design of Cntfet Based Ternary 2x2 Sram Memory Array for Low Power Application American-Eurasian Journal of Scientific Research 12 (5): 241-248, 2017 ISSN 1818-6785 IDOSI Publications, 2017 DOI: 10.5829/idosi.aejsr.2017.241.248 Design of Cntfet Based Ternary 2x2 Sram Memory Array

More information

Design of Digital Logic Circuits using Carbon Nanotube Field Effect Transistors

Design of Digital Logic Circuits using Carbon Nanotube Field Effect Transistors International Journal of Soft Computing and Engineering (IJSCE) ISSN: 2231-2307, Volume-1, Issue-6, December 2011 Design of Digital Logic Circuits using Carbon Nanotube Field Effect Transistors Subhajit

More information

SEMINAR ON PERSPECTIVES OF NANOTECHNOLOGY FOR RF AND TERAHERTZ ELECTRONICS. February 1, 2013

SEMINAR ON PERSPECTIVES OF NANOTECHNOLOGY FOR RF AND TERAHERTZ ELECTRONICS. February 1, 2013 SEMINAR ON PERSPECTIVES OF NANOTECHNOLOGY FOR RF AND TERAHERTZ ELECTRONICS February 1, 2013 GuideMr.Harikrishnan A.IAsst ProfessorANJUSEMINAR MICHAEL ONPERSPECTIVES (NSAJEEC013) OF NANOTECHNOLOGY FOR February

More information

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Saravana Maruthamuthu, Wireless Group Infineon Technologies India Private

More information

Peiman Keshavarzian, Mahla Mohammad Mirzaee

Peiman Keshavarzian, Mahla Mohammad Mirzaee A Novel Efficient CNTFET Gödel Circuit Design Peiman Keshavarzian, Mahla Mohammad Mirzaee Abstract Carbon nanotube field effect transistors (CNFETs) are being extensively studied as possible successors

More information

Nanoelectronics and the Future of Microelectronics

Nanoelectronics and the Future of Microelectronics Nanoelectronics and the Future of Microelectronics Mark Lundstrom Electrical and Computer Engineering University, West Lafayette, IN August 22, 2002 1. Introduction 2. Challenges in Silicon Technology

More information

Energy Efficient CNTFET Based Full Adder Using Hybrid Logic

Energy Efficient CNTFET Based Full Adder Using Hybrid Logic Energy Efficient CNTFET Based Full Adder Using Hybrid Logic Priya Kaushal ECE Department, NITTTR, Chandigarh, India email: pkaushal2407@gmail.com Rajesh Mehra ECE Department, NITTTR, Chandigarh, India

More information

Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response

Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response Amit Verma Assistant Professor Department of Electrical Engineering & Computer Science Texas

More information

Analysis of Total Voltage Source Power Dissipation in 6t Cntfet Sram and Force Stacking Cntfet Sram at Low Supply Voltage

Analysis of Total Voltage Source Power Dissipation in 6t Cntfet Sram and Force Stacking Cntfet Sram at Low Supply Voltage Analysis of Total Voltage Source Power Dissipation in 6t Cntfet Sram and Force Stacking Cntfet Sram at Low Supply Voltage Bipin Pokharel*, Dr. S K Chakarvati** *(Department of VLSI & Embedded system, manavrachana

More information

CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION

CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION 6.1 Introduction In this chapter we have made a theoretical study about carbon nanotubes electrical properties and their utility in antenna applications.

More information

CNTFET based Highly Durable Radix-4 Multiplier using an Efficient Hybrid Adder

CNTFET based Highly Durable Radix-4 Multiplier using an Efficient Hybrid Adder BIOSCIENCES BIOTECHNOLOGY RESEARCH ASIA, December 2014. Vol. 11(3), 1855-1860 CNTFET based Highly Durable Radix-4 Multiplier using an Efficient Hybrid Adder N. Mathan Assistant Professor,Department of

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Analysis of Power Gating Structure using CNFET Footer

Analysis of Power Gating Structure using CNFET Footer , October 19-21, 211, San Francisco, USA Analysis of Power Gating Structure using CNFET Footer Woo-Hun Hong, Kyung Ki Kim Abstract This paper proposes a new hybrid MOSFET/ carbon nanotube FET (CNFET) power

More information

Probabilistic Modelling of Performance Parameters of Carbon Nanotube Transistors

Probabilistic Modelling of Performance Parameters of Carbon Nanotube Transistors Probabilistic Modelling of Performance Parameters of Carbon Nanotube Transistors Amitesh Narayan, Snehal Mhatre, Yaman Sangar Department of Electrical and Computer Engineering, University of Wisconsin-Madison

More information

State of the Art Computational Ternary Logic Currnent- Mode Circuits Based on CNTFET Technology

State of the Art Computational Ternary Logic Currnent- Mode Circuits Based on CNTFET Technology International Journal of Computer (IJC) ISSN 37-453 (Print & Online) Global Society of Scientific Research and Researchers http://ijcjournal.org/ State of the Art Computational Ternary Logic Currnent-

More information

Adiabatic Logic Circuits for Low Power, High Speed Applications

Adiabatic Logic Circuits for Low Power, High Speed Applications IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 10 April 2017 ISSN (online): 2349-784X Adiabatic Logic Circuits for Low Power, High Speed Applications Satyendra Kumar Ram

More information

CNTFET BASED NOVEL 14T ADDER CELL FOR LOW POWER COMPUTATION

CNTFET BASED NOVEL 14T ADDER CELL FOR LOW POWER COMPUTATION ISSN: 2395-1680 (ONLINE) ICTACT JOURNAL ON MICROELECTRONICS, OCTOBER 2017, VOLUME: 03, ISSUE: 03 DOI: 10.21917/ijme.2017.0076 CNTFET BASED NOVEL 14T ADDER CELL FOR LOW POWER COMPUTATION Balaji Ramakrishna

More information

Ambipolar electronics

Ambipolar electronics Ambipolar electronics Xuebei Yang and Kartik Mohanram Department of Electrical and Computer Engineering, Rice University, Houston {xy3,mr11,kmram}@rice.edu Rice University Technical Report TREE12 March

More information

Power Efficient 3VL Memory Cell Design Using Carbon Nanotube Field Effect Transistors

Power Efficient 3VL Memory Cell Design Using Carbon Nanotube Field Effect Transistors Power Efficient 3VL Memory Cell Design Using Carbon Nanotube Field Effect Transistors S.Tamil Selvan, B.PremKumar, G.LAXMANAA HOD, Dept. of ECE, Sri Krishna Engineering College, Arakonam, TamilNadu, India

More information

Evaluation of the Parameters of Ring Oscillators

Evaluation of the Parameters of Ring Oscillators Evaluation of the Parameters of Ring Oscillators Using the CMOS and CNT 32nm Technology Suraj Singh Bhadouria 1, Nikhil Saxena 2 1 PG Scolar, 2 Assistant professor Department of Electronics & Communication

More information

Design and Analysis of 5-T SRAM Cell in 32nm CMOS and CNTFET Technologies

Design and Analysis of 5-T SRAM Cell in 32nm CMOS and CNTFET Technologies International Journal of Electronics and Electrical Engineering Vol. 1, No. 4, December, 2013 Design and Analysis of 5-T SRAM Cell in 32nm CMOS and CNTFET Technologies G. Boopathi Raja Department of ECE,

More information

Design of Low Power CMOS Ternary Logic Gates

Design of Low Power CMOS Ternary Logic Gates IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735, PP: 55-59 www.iosrjournals.org Design of Low Power CMOS Ternary Logic Gates 1 Savitri Vanjol, 2 Pradnya

More information

Design of an energy-efficient efficient CNFET Full Adder Cell

Design of an energy-efficient efficient CNFET Full Adder Cell IJCSI International Journal of Computer Science Issues, Vol. 9, Issue, No, May 0 www.ijcsi.org 9 Design of an energy-efficient efficient CNFET Full Adder Cell Arezoo Taeb, Keivan Navi, MohammadReza Taheri

More information

Current-Mode High-Precision Full-Wave Rectifier Based on Carbon Nanotube Field Effect Transistors

Current-Mode High-Precision Full-Wave Rectifier Based on Carbon Nanotube Field Effect Transistors Current-Mode High-Precision Full-Wave Rectifier Based on Carbon Nanotube Field Effect Transistors Neda Talebipour 1, Peiman Keshavarzian 2 1- Young Researchers and Elite Club, Kerman Branch, Islamic Azad

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

Performance of Near-Ballistic Limit Carbon Nano Transistor (CNT) Circuits

Performance of Near-Ballistic Limit Carbon Nano Transistor (CNT) Circuits Performance of Near-Ballistic Limit Carbon Nano Transistor (CNT) Circuits A. A. A. Nasser 1, Moustafa H. Aly 2, Roshdy A. AbdelRassoul 3, Ahmed Khourshed 4 College of Engineering and Technology, Arab Academy

More information

CHAPTER 4 CARBON NANOTUBE TRASISTOR BASED LOW POWER ANALOG ELECTRONIC CIRCUITS REALIZATION

CHAPTER 4 CARBON NANOTUBE TRASISTOR BASED LOW POWER ANALOG ELECTRONIC CIRCUITS REALIZATION 123 CHAPTER 4 CARBON NANOTUBE TRASISTOR BASED LOW POWER ANALOG ELECTRONIC CIRCUITS REALIZATION 4.1 INTRODUCTION Operational amplifiers (usually referred to as OPAMPs) are key elements of the analog and

More information

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications M. Sivakumar Research Scholar, ECE Department, SCSVMV University, Kanchipuram, India. Dr.

More information

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8,

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8, DESIGN OF SEQUENTIAL CIRCUITS USING MULTI-VALUED LOGIC BASED ON QDGFET Chetan T. Bulbule 1, S. S. Narkhede 2 Department of E&TC PICT Pune India chetanbulbule7@gmail.com 1, ssn_pict@yahoo.com 2 Abstract

More information

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator A. T. Fathima Thuslim Department of Electronics and communication Engineering St. Peters University, Avadi, Chennai, India Abstract: Single

More information

Introduction to Electronic Devices

Introduction to Electronic Devices (Course Number 300331) Fall 2006 Instructor: Dr. Dietmar Knipp Assistant Professor of Electrical Engineering Information: http://www.faculty.iubremen.de/dknipp/ Source: Apple Ref.: Apple Ref.: IBM Critical

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Dependence of Carbon Nanotube Field Effect Transistors Performance on Doping Level of Channel at Different Diameters: on/off current ratio

Dependence of Carbon Nanotube Field Effect Transistors Performance on Doping Level of Channel at Different Diameters: on/off current ratio Copyright (2012) American Institute of Physics. This article may be downloaded for personal use only. Any other use requires prior permission of the author and the American Institute of Physics. The following

More information

Design of an Efficient Current Mode Full-Adder Applying Carbon Nanotube Technology

Design of an Efficient Current Mode Full-Adder Applying Carbon Nanotube Technology I.J. Modern Education and Computer Science, 28, 4, 43-5 Published Online April 28 in MECS (http://www.mecs-press.org/) DOI:.585/ijmecs.28.4.6 Design of an Efficient Current Mode Full-Adder Applying Carbon

More information

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY S. M. SZE National Chiao Tung University Hsinchu, Taiwan And Stanford University Stanford, California ELECTRONIC AND SEMICONDUCTOR INDUSTRIES

More information

Design of Low Power Low Voltage Circuit using CMOS Ternary Logic

Design of Low Power Low Voltage Circuit using CMOS Ternary Logic Design of Low Power Low Voltage Circuit using CMOS Ternary Logic C.S.NANDURKAR 1, K.N.KASAT 2 1 PG, Dept of EEE, PRMCEAM, Badnera, Amravati, MS, India 2 Assistant Professor, Dept of EXTC, PRMCEAM, Badnera,

More information

Novel Efficient Designs for QCA JK Flip flop Without Wirecrossing

Novel Efficient Designs for QCA JK Flip flop Without Wirecrossing International Academic Institute for Science and Technology International Academic Journal of Science and Engineering Vol. 3, No. 2, 2016, pp. 93-101. ISSN 2454-3896 International Academic Journal of Science

More information

MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN

MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN M. Manoranjani 1 and T. Ravi 2 1 M.Tech, VLSI Design, Sathyabama University, Chennai, India 2 Department of Electronics

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop Indian Journal of Science and Technology, Vol 8(7), 622 628, April 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 DOI: 10.17485/ijst/2015/v8i7/62847 A High Performance Asynchronous Counter using

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

A Study of The Advancement of CMOS ALU & Full Adder Circuit Design For Modern Design

A Study of The Advancement of CMOS ALU & Full Adder Circuit Design For Modern Design A Study of The Advancement of & Full Adder Circuit Design F Modern Design Bruce Hardy BR759875 Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362 Abstract

More information

An Analogous Computation of Different Techniques for The Digital Implementation of Inverter and NAND Logic Gates

An Analogous Computation of Different Techniques for The Digital Implementation of Inverter and NAND Logic Gates I.J. Information Engineering and Electronic Business, 2012, 4, 33-38 Published Online August 2012 in MECS (http://www.mecs-press.org/) DOI: 10.5815/ijieeb.2012.04.05 An Analogous Computation of Different

More information

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 Paper Setter Detail Name Designation Mobile No. E-mail ID Raina Modak Assistant Professor 6290025725 raina.modak@tib.edu.in

More information

A Novel Architecture for Quantum-Dot Cellular Automata Multiplexer

A Novel Architecture for Quantum-Dot Cellular Automata Multiplexer www.ijcsi.org 55 A Novel Architecture for Quantum-Dot Cellular Automata Multiplexer Arman Roohi 1, Hossein Khademolhosseini 2, Samira Sayedsalehi 3, Keivan Navi 4 1,2,3 Department of Computer Engineering,

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

A MODIFIED STRUCTURE OF CARRY SELECT ADDER USING CNTFET TECHNOLOGY Karunakaran.P* 1, Dr.Sundarajan.M 2

A MODIFIED STRUCTURE OF CARRY SELECT ADDER USING CNTFET TECHNOLOGY Karunakaran.P* 1, Dr.Sundarajan.M 2 ISSN: 0975-766X CODEN: IJPTFI Available Online through Research Article www.ijptonline.com A MODIFIED STRUCTURE OF CARRY SELECT ADDER USING CNTFET TECHNOLOGY Karunakaran.P* 1, Dr.Sundarajan.M 2 1 Research

More information

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review SUPRATIM SAHA Assistant Professor, Department of ECE, Subharti Institute of Technology

More information

Electrical characteristics of a Carbon Nanotube Field- Effect Transistor (CNTFET)

Electrical characteristics of a Carbon Nanotube Field- Effect Transistor (CNTFET) 66 Electrical characteristics of a Carbon Nanotube Field- Effect Transistor (CNTFET) VIDAL-DE GANTE, Elsa O.*, HERNÁNDEZ-DE LA LUZ, J. A. David, MOZO-VARGAS, J.J. Martín and LUNA- LÓPEZ, J. Alberto Posgrado

More information

MODELLING AND IMPLEMENTATION OF SUBTHRESHOLD CURRENTS IN SCHOTTKY BARRIER CNTFETs FOR DIGITAL APPLICATIONS

MODELLING AND IMPLEMENTATION OF SUBTHRESHOLD CURRENTS IN SCHOTTKY BARRIER CNTFETs FOR DIGITAL APPLICATIONS www.arpapress.com/volumes/vol11issue3/ijrras_11_3_03.pdf MODELLING AND IMPLEMENTATION OF SUBTHRESHOLD CURRENTS IN SCHOTTKY BARRIER CNTFETs FOR DIGITAL APPLICATIONS Roberto Marani & Anna Gina Perri Electrical

More information

Circuit Design of Reconfigurable Dynamic Logic. Based on Double Gate CNTFETs Focusing on. Number of States of Back Gate Voltages

Circuit Design of Reconfigurable Dynamic Logic. Based on Double Gate CNTFETs Focusing on. Number of States of Back Gate Voltages Contemporary Engineering Sciences, Vol. 7, 2014, no. 1, 39-52 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2014.3952 Circuit Design of Reconfigurable Dynamic Logic Based on Double Gate CNTFETs

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

Embedded System Design and Synthesis. Transition. Evolution of computation. Two major sources of changing problems. Impact of scaling on delay

Embedded System Design and Synthesis. Transition. Evolution of computation. Two major sources of changing problems. Impact of scaling on delay Transition http://robertdick.org/esds/ Office: EECS 2417-E Department of Electrical Engineering and Computer Science University of Michigan Classes will transition from covering background on embedded

More information

A universal method for designing low-power carbon nanotube FET-based multiple-valued logic circuits

A universal method for designing low-power carbon nanotube FET-based multiple-valued logic circuits Published in IET Computers & Digital Techniques Received on 25th May 2011 Revised on 20th March 2013 Accepted on 16th April 2013 ISSN 1751-8601 A universal method for designing low-power carbon nanotube

More information

A COMPARATIVE ANALYSIS OF AN ULTRA-LOW VOLTAGE 1-BIT FULL SUBTRACTOR DESIGNED IN BOTH DIGITAL AND ANALOG ENVIRONMENTS

A COMPARATIVE ANALYSIS OF AN ULTRA-LOW VOLTAGE 1-BIT FULL SUBTRACTOR DESIGNED IN BOTH DIGITAL AND ANALOG ENVIRONMENTS A COMPARATIVE ANALYSIS OF AN ULTRA-LOW VOLTAGE 1-BIT FULL SUBTRACTOR DESIGNED IN BOTH DIGITAL AND ANALOG ENVIRONMENTS Suchismita Sengupta M.Tech Student, VLSI & EMBEDDED Systems, Dept. Of Electronics &

More information

Design Methodology Based on Carbon Nanotube Field Effect Transistor(CNFET)

Design Methodology Based on Carbon Nanotube Field Effect Transistor(CNFET) Design Methodology Based on Carbon Nanotube Field Effect Transistor(CNFET) A Thesis Presented by Young Bok Kim to The Department of Department of Electrical and Computer Engineering in partial fulfillment

More information

Binary Adder- Subtracter in QCA

Binary Adder- Subtracter in QCA Binary Adder- Subtracter in QCA Kalahasti. Tanmaya Krishna Electronics and communication Engineering Sri Vishnu Engineering College for Women Bhimavaram, India Abstract: In VLSI fabrication, the chip size

More information

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101 Delay Depreciation and Power efficient Carry Look Ahead Adder using CMOS T. Archana*, K. Arunkumar, A. Hema Malini Department of Electronics and Communication Engineering, Saveetha Engineering College,

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Carbon Nanotube Field Effect Transistor-Based Gas Sensor for NH 3 Detection

Carbon Nanotube Field Effect Transistor-Based Gas Sensor for NH 3 Detection 2011 International onference on Nanotechnology and Biosensors IPBEE vol.25(2011) (2011) IASIT Press, Singapore arbon Nanotube Field Effect Transistor-Based as Sensor for NH 3 Detection Abdorahim Zahedi

More information

Selected Topics in Nanoelectronics. Danny Porath 2002

Selected Topics in Nanoelectronics. Danny Porath 2002 Selected Topics in Nanoelectronics Danny Porath 2002 Links to NST http://www.foresight.org/ http://itri.loyola.edu/nanobase/ http://www.zyvex.com/nano/ http://www.nano.gov/ http://www.aeiveos.com/nanotech/

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Trends in the Research on Single Electron Electronics

Trends in the Research on Single Electron Electronics 5 Trends in the Research on Single Electron Electronics Is it possible to break through the limits of semiconductor integrated circuits? NOBUYUKI KOGUCHI (Affiliated Fellow) AND JUN-ICHIRO TAKANO Materials

More information

A CMOS Analog Front-End Circuit for MEMS Based Temperature Sensor

A CMOS Analog Front-End Circuit for MEMS Based Temperature Sensor Technology Volume 1, Issue 2, October-December, 2013, pp. 01-06, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 A CMOS Analog Front-End Circuit for MEMS Based Temperature Sensor Bollam

More information

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology CMOS Digital Logic Design with Verilog Chapter1 Digital IC Design &Technology Chapter Overview: In this chapter we study the concept of digital hardware design & technology. This chapter deals the standard

More information

VLSI Design. Introduction

VLSI Design. Introduction VLSI Design Introduction Outline Introduction Silicon, pn-junctions and transistors A Brief History Operation of MOS Transistors CMOS circuits Fabrication steps for CMOS circuits Introduction Integrated

More information

Title. Author(s)Uemura, T.; Baba, T. CitationIEEE Transactions on Electron Devices, 49(8): Issue Date Doc URL. Rights.

Title. Author(s)Uemura, T.; Baba, T. CitationIEEE Transactions on Electron Devices, 49(8): Issue Date Doc URL. Rights. Title A three-valued D-flip-flop and shift register using Author(s)Uemura, T.; Baba, T. CitationIEEE Transactions on Electron Devices, 49(8): 1336-1 Issue Date 2002-08 Doc URL http://hdl.handle.net/2115/5577

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY Volume-, Issue-, March 2 POWER EFFICIENT DESIGN OF COUNTER ON.2 MICRON TECHNOLOGY Simmy Hirkaney, Sandip Nemade, Vikash Gupta Abstract As chip manufacturing technology is suddenly on the threshold of major

More information

DESIGN OF 64 BIT LOW POWER ALU FOR DSP APPLICATIONS

DESIGN OF 64 BIT LOW POWER ALU FOR DSP APPLICATIONS DESIGN OF 64 BIT LOW POWER ALU FOR DSP APPLICATIONS Rajesh Pidugu 1, P. Mahesh Kannan 2 M.Tech Scholar [VLSI Design], Department of ECE, SRM University, Chennai, India 1 Assistant Professor, Department

More information

2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR

2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR 2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR C.CHANDAN KUMAR M.Tech-VLSI, Department of ECE, Sree vidyanikethan Engineering college A.Rangampet, Tirupati, India chennachandu123@gmail.com

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information