ISSN Vol.06,Issue.05, August-2014, Pages:

Size: px
Start display at page:

Download "ISSN Vol.06,Issue.05, August-2014, Pages:"

Transcription

1 ISSN Vol.06,Issue.05, August-2014, Pages: PG Scholar, Dept of ECE, Sreenidhi Institute of Science and Technology, Hyderabad, India. Abstract: This paper discusses a technique which reduces the power consumption in CMOS digital circuits and to introduce a new technology CNFET which consumes less power and provides better performance than CMOS. The transistor size has been scaled down to achieve the exponential growth in transistor counts, but the scaling will soon end CNTs can be used to develop Carbon Nano-tube Field Effect Transistors (CNFETs) whose conducting channel is made of carbon nano-tubes. The CNFET, which is a 1-D structure with a near-ballistic transport capability, can potentially offer excellent device characteristics and order-of-magnitude better energy-delay product over standard CMOS devices. The CNFETs are about two to ten times faster, the energy consumption per cycle is about seven to two times lower, and the energy-delay product is about times lower than silicon CMOS devices. D-type flip-flops are implemented using the Silicon MOSFETs and CNFETs and performance is evaluated for both. CNFETs implementation shows better performance and consumes less energy than MOSFETs. Keywords: CNFET, CMOS, Carbon Nano-Tubes, DFF, Sub-Threshold Voltage. I. INTRODUCTION Power consumption has increased substantially with the increase of speed and number of transistors per unit chip area. The important design constraint along with area and speed in modern VLSI design is Power. The equation which represents Power consumption of a digital CMOS circuits is shown below: (1) The first term dynamic power consumption is the dominating term, which is due to logic switching. Where CL is the load capacitance, α is the switching activity, V dd is the supply voltage, and f is the switching frequency. The power dissipated due to the short circuit current, Isc, generated when both NMOS and PMOS transistors are simultaneously active is shown by the second term. The term I leak V dd is due to leakage current, I leak, which varies with processing technology. consumption in digital systems. These circuits are very useful where battery life is more important than the speed like in health and environment, where data monitored changes slowly. The reduction of the supply voltage and transistor size of MOSFET came to an end since scaling faces serious problem in fabrication and device performance. The device scaling results in quantum mechanical tunneling of carriers through the thin gate oxides, quantum mechanical tunneling of carriers from source to drain and from drain to body, control of the density and location of do pant atoms in the MOSFET channel and source drain region to provide high on off current ratio, the finite sub-threshold slope. As scaling towards nm technology, due to the random nature of manufacturing process, various effects such as ion implantation, diffusion and thermal annealing have induced significant fluctuations of electrical characteristics. To overcome these limitations many solutions are propose. Some alternatives which would enable continued improvement in the performance of electronics systems are high dielectric constant (High K), metal gate electrode, double gate FET[1]. Reducing direct tunneling leakage currents and efficient charge injection are provided by the High-K dielectric materials. The technologies that could replace the MOSFET as the basic logic device, are negative differential resistors, nano-wire or carbon nano tube FET, quantum cellular automata, and reconfigurable switches. The best way to reduce power consumption is to lower the supply voltage level of a circuit. As voltage is quadratically related with dynamic power, a small reduction in the supply voltage results in a moderate reduction in the dynamic power consumption. However, that the reduction in the supply voltage causes an increase in the circuit delay and consequently a reduction in the throughput. Second method is to reduce the size of the transistor which reduces the load capacitance results in decrease of dynamic and the leakage power. The digital and analog circuits can operate at ultralow voltages (<0.4V). This region is considered as the subthreshold voltage region. Sub-threshold circuits are those A. Sub-threshold Operation for Ultimate Low Power II. SUBTHRESHOLD OPERATION whose supply voltage V DD less than the threshold voltage Vt Logic of the MOS transistor. The power is related quadratically to The design of medium power and medium performance the supply voltage, reducing the voltage to ultra-low levels circuits has given attention. The well known methods - results in a dramatic reduction in both power and energy include voltage scaling, switching activity reduction, 2014 SEMAR GROUPS TECHNICAL SOCIETY. All rights reserved.

2 architectural techniques of pipelining and parallelism, issues of device sizing, interconnect and logical optimization. These methods are not sufficient in many applications such as portable computing gadgets, medical electronics etc., where low power consumption is the primary requirement. The design of digital sub-threshold logic is investigated with the devices operated in sub-threshold region. Fig.1 shows the sub-threshold region of interest. In conventional CMOS the circuits are operated with the voltage greater than threshold voltage. This region is known as strong inversion or super threshold. If the supply voltage is less than the threshold voltage the transistor can be operated in ultra low power consumption. This is called sub-threshold and current flows is sub-threshold current[6]. logic can operate the circuit at higher frequencies while still maintaining the same energy/ switching with enhanced robustness com- pared to static CMOS. 4. Sub-threshold Domino Logic: It is similar to conventional domino logic except the transistors are operated in sub-threshold region. Sub- domino outweighs Sub-CMOS by about 32% in terms of power consumption. The Sub-domino logic is 32% faster than sub- CMOS logic. III. CARBON NANOTUBES: FUTURE TECHNOLOGY FOR SUB-THRESHOLD REGIME The sub-threshold circuits are very promising for ultra-low power applications. However, operating circuits at very low values of supply voltages raises robustness issues such as sensitivity to process, voltage and temperature (PVT) variations. High performance carbon nano tube field effect transistors (CNFETs) with very high on - currents have been reported in literature and are a promising solution for VLSI requirements[11]. Fig.1. Region of operation of digital sub-threshold logic[6]. B. Optimal Logic Families for Sub-threshold Operation They operate at very low values of supply voltage. The low supply voltages raise the robustness issues. Design of robust sub-threshold logic circuits exploring logic families is another area for research. The following logic families are optimal for sub-threshold operation operation. A. Overview of the CNFET Structure Fig.2 shows carbon nano-tube Field Effect Transistors (CNFETs) who s conducting channel is made of carbon nanotubes. Nano-tubes have electrical properties that make them attractive as nano-electronics wires and devices: they can behave as metallic wires or as semiconductors, depending on their structure. The channel can contain single nano tube (called SWCNFET) or multiple nano tubes(mwcnfet). The MWCNFET has more structural faults when compared to the SWCNFET. SWCNFET replaces the MOSFET. 1. Sub-threshold CMOS Logic: CMOS logic operated in the sub- threshold region is know as sub-threshold CMOS logic. The voltage transfer characteristics (VTC) of the CMOS gates in sub-threshold region are closer to ideal compared to the VTC in normal strong inversion region. The ideal VTC yields better noise margins. Drain current ID and gate-source voltage VGS exhibit exponential relationship in sub-threshold. This gives rise to an extremely high trans-conductance. 2. Sub-threshold Pseudo-NMOS Logic: It is more robust than its strong inversion counter- part. It operates 6 times faster than conventional CMOS. The PDP of pseudo-nmos is better and possess comparable robustness to static CMOS in sub- threshold region[6]. 3. Sub-DTMOS logic: It uses transistors whose gates are tied to their substrate. The substrate voltage in sub-dtmos logic changes with the gate input voltage, the threshold voltage is dynamically changed. The PDP of DTMOS is comparable to the PDP of regular CMOS. The DTMOS Fig.2. Carbon nano-tube that can be formed by rolling up grapheme. The CNFET is a 1-D structure with a near-ballistic transport capability, can potentially offer excellent device characteristics and order-of-magnitude better energy-delay product over standard CMOS devices[3].the intrinsic delay is very low, show higher electron mobility compared to bulk silicon. CMOS circuit blocks can be realized using CNFETs since their operation principle is similar. Single walled carbon nano-tubes (SWCNTs) has many in electronics because of both their metallic and semiconducting properties and their ability to carry high current. CNTs are capable to carry current density of the order 10 μa/nm2, while standard metal wires have a current carrying capability of the order 10 na/nm2. SWCNT can be made to act as either conductor or semiconductor depending on the angle of atom alignment along the tube[8]. This is known as

3 the chirality vector and is represented by the integer pair (n, m). Based on them we can determine whether it is metal or semiconductor. When n=m or n-m=3i (I is an integer) then the tube is metallic, otherwise it is semiconducting [9]. The diameter of tube is given by (2) CNFET diameter determines the band gap energy of the tube. The relationship between the diameter and band gap energy is shown below (3) B. Geometry Dependent CNTFET 1. Back-gate CNTFET: The CNTs which is semiconducting is made to fall across two metal strips. One of them is the "source" contact while the other is the "drain" contact. The gate oxide is the silicon oxide substrate and adding a metal contact on the back makes the semiconducting CNT gateable. There are some limitations of this one of it was the metal contact, which actually had very little contact to the CNT, since the nano-tube just lay on top of it and the contact area was therefore very small and also due to the semiconducting nature of the CNT, a Schottkey Barrier forms at the metal-semiconductor interface increasing the contact resistance. The second disadvantage was due to the back-gate device geometry. Its thickness made it difficult to switch the devices on and off using low voltages, and the fabrication process led to poor contact between the gate dielectric and CNT[7] as shown in Fig.3. Fig.4.Top Gate CNFET. Table I: Comparison between Back Gate CNTFET and Top gate CNTFET C. Based on the Type Electrode CNTFETs are classified into three categories. (a) Schottkybarrier (SB) CNTFET (b) Partially gated (PG) CNTFET and (c) doped-s/d CNTFET. 1. Schottky-barrier (SB) CNTFET: In this type of CNTFET an intrinsic CNT is used in the channel region. The intrinsic CNT is connected to metal Source/Drain and forms Schottky barriers at the junctions. They operate as unconventional Schottky barrier transistors in which transistor action occurs primarily by varying the contact resistance rather than the channel conductance. Fig.3.Back Gate CNFET. Top gate CNTFET: The fig.4 shows the schematic diagram of a top-gated CNTFET with Ti source, drain, and gate electrodes. The gate oxide used was 15-nm SiO 2 film. In this gate is placed over the CNT. The table 1 below shows the advantages of top gated CNTFET over back gated CNTFET. The top gate CNFET is more advantageous over back gate CNFET. The current on off ratio is better for top gate CNFET. It has better trans-conductance over the back gate CNFET[7]. 2. Partially gated (PG) CNTFET: It is a depletion mode CNTFET in which the nano-tube is uniformly doped or uniformly intrinsic with ohmic contacts at their ends. They can be of n-type or p-type when respectively n-doped or p- doped. In these devices the gate locally depletes the carriers in the nano-tube and turns off the p-type (n-type) device with an efficiently positive (negative) threshold voltage that approaches the theoretical limit for room-temperature operation. The on-current of such devices is given as I D (on) =qρvt where ρ is the carrier density per unit length and v t is the uni-directional thermal velocity. 3. Doped- source or drain (S/D) CNTFET: Doped-S/D CNTFETs are composed of three regions. The region below the gate is intrinsic in nature and the two un-gated regions are doped with either p-type or n-type. In this CNFET the ON-current is limited by the amount of charges that can be induced in the channel by the gate and not by the doping in the source. They operate in a pure p- or n-type

4 enhancement-mode or in a depletion-mode, based on the principle of barrier height modulation when applying a gate potential [2] as shown in Fig.5. Out of three, doped S/D CNTFETs are promising because (1) they show uni-polar characteristics unlike SB-CNTFETs; (2) the absence of SB reduces the OFF leakage current; (3) they are more scalable compared to their SB counterparts; (4) in ON-state, the source-to-channel junction has a significantly higher ON current. taken as 3 (minimum) and increased for the transistors requiring larger current driving capability. CNFET SDFF achieves 8 times better speed, 3 times less power and 24 times better PDP compared to silicon CMOS version. CNFET SDFF operates less than one third of the voltage silicon SDFF operates shown in [10]. Fig.6. SDFF, Simple D Flip-Flop. Fig.5.(a) SBCNFET (b) CNTFET (c) Tunneling CNFET[2]. Depending on the doping profile doped S/D CNTFETs can again be classified into two groups. Conventional CNTFET (C-CNTFET): This comprise of CNTFETs with p/i/p or n/i/n doping scheme that is both S/D are doped with either p-type or n-type material. Tunneling CNTFET (T-CNTFET): CNTFETs with n/i/p doping scheme (source and drain are oppositely doped) comes under this group. The above CNTFETs discussed are of planer structure. Besides these one more structure is also developed which is known as vertical CNTFET (V-CNTFET) or coaxially gated CNTFET. This consists of a SWCNT with a coaxial gate. The advantage of V-CNTFET is that vertical growth in CNT is easier and aligned than horizontal growth[4]. IV. COMPARISON BETWEEN MOSFET AND CNFET A. Design of D Flip-Flop Flip-Flops are major components of digital circuits. Two popular DFFs based on master-slave latch-pairs have been used for the design and optimized in terms of power. The first design uses simple and area efficient flip-flop circuit (SDFF) shown in Fig6. BSIM 32nm predictive model has been used for simulation. Transistor sizes have chosen as small as possible to achieve the lowest power. The same SDFF is implemented using the CNFET as shown in [10]. The design requires width to length ratio as 1 for carbon nano-tube PCNFET and NCNFETs since current driving capacity is the same for both transistors. Number of tubes are V. CONCLUSION In this paper an overview of the subthreshold operation of MOSFET is presented briefly. A simple DFF is built using the CMOS and the CNFETs and their performance is evaluated. The CNFET circuits shows the better performance than the CMOs circuits. One of the major challenges faced by the CNFET is the presence of unwanted metallic tubes that has an unfavourable impact on the delay, power, and functional yield of carbon nanotube based circuits. The unwanted growth of metallic tubes during the fabrication of CNTs is a major challenge that will affect the fabrication of robust CNT-based circuits. VI. REFERENCES [1] CNFET based Logic circuits, Sanjeet Kumar Sinha, Saurabh Choudhury, IJETAE, ISSN [2] Comparing Carbon Nanotube Transistors-The Ideal Choice: A Novel Tunneling Device Design, Joerg Appenzeller, Zhihong Chen, Vol.52, IEEE. [3] Comparison of variations in MOSFET versus CNFET in Gigascale Integration, Ali Arabi M. Shahi, Payman Zarkesh-Ha, Mirza Elahi, , IEEE. [4] Design and Analysis of High performance CNFET based Fulladder, Mohammad Hossein Moaiyeri, RezaFaghih Mirzaee, Keivan Navi, AmirMomeni. [5] Emerging research devices: A study of CNFET and SET as replacement for SiMOSFET, Mahmoud Lababidi, Krishna Natarajan, Guangyu Sun. [6] Subthreshhold Circuit Design Techniques for ultra low power logic, Rohit Dhiman, Rajeevan Chandel and R.P. Agarwal. [7] Simulations of Carbon Nano tube Field Effect Transistor, Rasmita Sahoo and R. R. Mishra, IJEER,ISSN [8] CNFET based Basic Gates and a novel full adder cell, Fazel Sharifi, Amir Momeni,keivan Navi, International Journal of VLSI design & Communication Systems, Vol.3. [9] Comparitive performance Evaluation of Large FPGA with CNFET and CMOS based switches in Nanoscale, Mohammad Hossein Moaiyeria,, Ali Jahaniana,, Keivan Navia,.

5 [10] Design and Analysis of Lowpower Carbon Nanotube Field Effect Transistor DFF, Ovunc Polat,Ali Manzak, /11,IEEE,2011. [11] Assessment of Silicon MOS and carbon Nanotube FET performance limits using a General theory of Ballistic Transistor,Jing Guo, Supriyo Datta, and Mark Lundstrom.

Simulation and Analysis of CNTFETs based Logic Gates in HSPICE

Simulation and Analysis of CNTFETs based Logic Gates in HSPICE Simulation and Analysis of CNTFETs based Logic Gates in HSPICE Neetu Sardana, 2 L.K. Ragha M.E Student, 2 Guide Electronics Department, Terna Engineering College, Navi Mumbai, India Abstract Conventional

More information

Design of low threshold Full Adder cell using CNTFET

Design of low threshold Full Adder cell using CNTFET Design of low threshold Full Adder cell using CNTFET P Chandrashekar 1, R Karthik 1, O Koteswara Sai Krishna 1 and Ardhi Bhavana 1 1 Department of Electronics and Communication Engineering, MLR Institute

More information

Performance Optimization of Dynamic and Domino logic Carry Look Ahead Adder using CNTFET in 32nm technology

Performance Optimization of Dynamic and Domino logic Carry Look Ahead Adder using CNTFET in 32nm technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 5, Ver. I (Sep - Oct. 2015), PP 30-35 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Performance Optimization of Dynamic

More information

Implementation of Mod-16 Counter using Verilog-A Model of CNTFET

Implementation of Mod-16 Counter using Verilog-A Model of CNTFET Technology Volume 1, Issue 2, October-December, 2013, pp. 30-36, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 ABSTRACT Implementation of Mod-16 Counter using Verilog-A Model of CNTFET

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

Design of an energy-efficient efficient CNFET Full Adder Cell

Design of an energy-efficient efficient CNFET Full Adder Cell IJCSI International Journal of Computer Science Issues, Vol. 9, Issue, No, May 0 www.ijcsi.org 9 Design of an energy-efficient efficient CNFET Full Adder Cell Arezoo Taeb, Keivan Navi, MohammadReza Taheri

More information

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Seyedehsomayeh Hatefinasab * Department of Electrical and Computer Engineering, Payame Noor University, Sari, Iran. *Corresponding

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

LOW LEAKAGE CNTFET FULL ADDERS

LOW LEAKAGE CNTFET FULL ADDERS LOW LEAKAGE CNTFET FULL ADDERS Rajendra Prasad Somineni srprasad447@gmail.com Y Padma Sai S Naga Leela Abstract As the technology scales down to 32nm or below, the leakage power starts dominating the total

More information

MODELLING AND IMPLEMENTATION OF SUBTHRESHOLD CURRENTS IN SCHOTTKY BARRIER CNTFETs FOR DIGITAL APPLICATIONS

MODELLING AND IMPLEMENTATION OF SUBTHRESHOLD CURRENTS IN SCHOTTKY BARRIER CNTFETs FOR DIGITAL APPLICATIONS www.arpapress.com/volumes/vol11issue3/ijrras_11_3_03.pdf MODELLING AND IMPLEMENTATION OF SUBTHRESHOLD CURRENTS IN SCHOTTKY BARRIER CNTFETs FOR DIGITAL APPLICATIONS Roberto Marani & Anna Gina Perri Electrical

More information

A Novel Quaternary Full Adder Cell Based on Nanotechnology

A Novel Quaternary Full Adder Cell Based on Nanotechnology I.J. Modern Education and Computer Science, 2015, 3, 19-25 Published Online March 2015 in MECS (http://www.mecs-press.org/) DOI: 10.5815/ijmecs.2015.03.03 A Novel Quaternary Full Adder Cell Based on Nanotechnology

More information

IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online): 2321-0613 Implementation of Ternary Logic Gates using CNTFET Rahul A. Kashyap 1 1 Department of

More information

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Saravana Maruthamuthu, Wireless Group Infineon Technologies India Private

More information

Carbon Nanotube Based Circuit Designing: A Review

Carbon Nanotube Based Circuit Designing: A Review International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 13, Issue 1 (January 2017), PP.56-61 Carbon Nanotube Based Circuit Designing: A

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Dependence of Carbon Nanotube Field Effect Transistors Performance on Doping Level of Channel at Different Diameters: on/off current ratio

Dependence of Carbon Nanotube Field Effect Transistors Performance on Doping Level of Channel at Different Diameters: on/off current ratio Copyright (2012) American Institute of Physics. This article may be downloaded for personal use only. Any other use requires prior permission of the author and the American Institute of Physics. The following

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

CNTFET Based Analog and Digital Circuit Designing: A Review

CNTFET Based Analog and Digital Circuit Designing: A Review International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) CNTFET Based Analog and Digital Circuit Designing: A Review Neelofer Afzal *(Department Of Electronics and Communication Engineering,

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

UNIT-VI FIELD EFFECT TRANSISTOR. 1. Explain about the Field Effect Transistor and also mention types of FET s.

UNIT-VI FIELD EFFECT TRANSISTOR. 1. Explain about the Field Effect Transistor and also mention types of FET s. UNIT-I FIELD EFFECT TRANSISTOR 1. Explain about the Field Effect Transistor and also mention types of FET s. The Field Effect Transistor, or simply FET however, uses the voltage that is applied to their

More information

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 Paper Setter Detail Name Designation Mobile No. E-mail ID Raina Modak Assistant Professor 6290025725 raina.modak@tib.edu.in

More information

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) International Journal of Electronics Engineering, (1), 010, pp. 19-3 Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) Ashutosh Nandi 1, Gaurav Saini, Amit Kumar Jaiswal

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

CNTFET Based Energy Efficient Full Adder

CNTFET Based Energy Efficient Full Adder CNTFET Based Energy Efficient Full Adder Shaifali Ruhil 1, Komal Rohilla 2 Jyoti Sehgal 3 P.G. Student, Department of Electronics Engineering, Vaish College of Engineering, Rohtak, Haryana, India 1,2 Assistant

More information

Design of Low Power Baugh Wooley Multiplier Using CNTFET

Design of Low Power Baugh Wooley Multiplier Using CNTFET Technology Volume 1, Issue 2, October-December, 2013, pp. 50-54, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 Design of Low Power Baugh Wooley Multiplier Using CNTFET Nayana Remesh,

More information

MOS TRANSISTOR THEORY

MOS TRANSISTOR THEORY MOS TRANSISTOR THEORY Introduction A MOS transistor is a majority-carrier device, in which the current in a conducting channel between the source and the drain is modulated by a voltage applied to the

More information

[Sardana*,5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

[Sardana*,5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY CARBON NANO TUBE FIELD EFFECT TRANSISTOR:A REVIEW Neetu Sardana(M.E Student)*, Professor L.K.Ragha(Guide) Electronics Engineering

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

Alternative Channel Materials for MOSFET Scaling Below 10nm

Alternative Channel Materials for MOSFET Scaling Below 10nm Alternative Channel Materials for MOSFET Scaling Below 10nm Doug Barlage Electrical Requirements of Channel Mark Johnson Challenges With Material Synthesis Introduction Outline Challenges with scaling

More information

Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs.

Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs. Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs. Kazi Muhammad Jameel Student, Electrical and Electronic Engineering, AIUB, Dhaka, Bangladesh ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Analysis of Power Gating Structure using CNFET Footer

Analysis of Power Gating Structure using CNFET Footer , October 19-21, 211, San Francisco, USA Analysis of Power Gating Structure using CNFET Footer Woo-Hun Hong, Kyung Ki Kim Abstract This paper proposes a new hybrid MOSFET/ carbon nanotube FET (CNFET) power

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Efficient CNFET-based Rectifiers for Nanoelectronics

Efficient CNFET-based Rectifiers for Nanoelectronics Efficient CNFET-based Rectifiers for Nanoelectronics Mohammad Hossein Moaiyeri Nanotechnology and Quantum Computing Lab., Shahid Keivan Navi Faculty of Electrical and Computing Engineering, Shahid Omid

More information

Performance Evaluation of CNTFET Based Ternary Basic Gates and Half Adder

Performance Evaluation of CNTFET Based Ternary Basic Gates and Half Adder Performance Evaluation of CNTFET Based Ternary Basic Gates and Half Adder Gaurav Agarwal 1, Amit Kumar 2 1, 2 Department of Electronics, Institute of Engineering and Technology, Lucknow Abstract: The shrinkage

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline:

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline: ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline: Metal-Semiconductor Junctions MOSFET Basic Operation MOS Capacitor Things you should know when you leave Key Questions What is the

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS ABSTRACT J.Shailaja 1, Y.Priya 2 1 ECE Department, Sphoorthy Engineering College (India) 2 ECE,Sphoorthy Engineering College, (India) The

More information

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter I J E E E C International Journal of Electrical, Electronics ISSN No. (Online): 2277-2626 and Computer Engineering 3(2): 138-143(2014) Design and Performance Analysis of SOI and Conventional MOSFET based

More information

INTRODUCTION: Basic operating principle of a MOSFET:

INTRODUCTION: Basic operating principle of a MOSFET: INTRODUCTION: Along with the Junction Field Effect Transistor (JFET), there is another type of Field Effect Transistor available whose Gate input is electrically insulated from the main current carrying

More information

Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD Tools

Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD Tools IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 6, Issue 1 (May. - Jun. 2013), PP 62-67 Optimization of Threshold Voltage for 65nm PMOS Transistor

More information

CNTFET based Highly Durable Radix-4 Multiplier using an Efficient Hybrid Adder

CNTFET based Highly Durable Radix-4 Multiplier using an Efficient Hybrid Adder BIOSCIENCES BIOTECHNOLOGY RESEARCH ASIA, December 2014. Vol. 11(3), 1855-1860 CNTFET based Highly Durable Radix-4 Multiplier using an Efficient Hybrid Adder N. Mathan Assistant Professor,Department of

More information

ECE 340 Lecture 40 : MOSFET I

ECE 340 Lecture 40 : MOSFET I ECE 340 Lecture 40 : MOSFET I Class Outline: MOS Capacitance-Voltage Analysis MOSFET - Output Characteristics MOSFET - Transfer Characteristics Things you should know when you leave Key Questions How do

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

Electrical characteristics of a Carbon Nanotube Field- Effect Transistor (CNTFET)

Electrical characteristics of a Carbon Nanotube Field- Effect Transistor (CNTFET) 66 Electrical characteristics of a Carbon Nanotube Field- Effect Transistor (CNTFET) VIDAL-DE GANTE, Elsa O.*, HERNÁNDEZ-DE LA LUZ, J. A. David, MOZO-VARGAS, J.J. Martín and LUNA- LÓPEZ, J. Alberto Posgrado

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

Semiconductor TCAD Tools

Semiconductor TCAD Tools Device Design Consideration for Nanoscale MOSFET Using Semiconductor TCAD Tools Teoh Chin Hong and Razali Ismail Department of Microelectronics and Computer Engineering, Universiti Teknologi Malaysia,

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Solid State Devices- Part- II. Module- IV

Solid State Devices- Part- II. Module- IV Solid State Devices- Part- II Module- IV MOS Capacitor Two terminal MOS device MOS = Metal- Oxide- Semiconductor MOS capacitor - the heart of the MOSFET The MOS capacitor is used to induce charge at the

More information

MOSFET short channel effects

MOSFET short channel effects MOSFET short channel effects overview Five different short channel effects can be distinguished: velocity saturation drain induced barrier lowering (DIBL) impact ionization surface scattering hot electrons

More information

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 2, August 2014, pp. 81 85 81 Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness Alpana

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator A. T. Fathima Thuslim Department of Electronics and communication Engineering St. Peters University, Avadi, Chennai, India Abstract: Single

More information

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique Chandni jain 1, Shipra mishra 2 1 M.tech. Embedded system & VLSI Design NITM,Gwalior M.P. India 474001 2 Asst Prof. EC Dept.,

More information

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) 3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) Pei W. Ding, Kristel Fobelets Department of Electrical Engineering, Imperial College London, U.K. J. E. Velazquez-Perez

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

Design of 45 nm Fully Depleted Double Gate SOI MOSFET

Design of 45 nm Fully Depleted Double Gate SOI MOSFET Design of 45 nm Fully Depleted Double Gate SOI MOSFET 1. Mini Bhartia, 2. Shrutika. Satyanarayana, 3. Arun Kumar Chatterjee 1,2,3. Thapar University, Patiala Abstract Advanced MOSFETS such as Fully Depleted

More information

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA Efficient Power Management Technique for Deep-Submicron Circuits P.Sreenivasulu 1, Ch.Aruna 2 Dr. K.Srinivasa Rao 3, Dr. A.Vinaya babu 4 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA. 2

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Design of Digital Logic Circuits using Carbon Nanotube Field Effect Transistors

Design of Digital Logic Circuits using Carbon Nanotube Field Effect Transistors International Journal of Soft Computing and Engineering (IJSCE) ISSN: 2231-2307, Volume-1, Issue-6, December 2011 Design of Digital Logic Circuits using Carbon Nanotube Field Effect Transistors Subhajit

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN Performance Evaluation and Comparison of Ultra-thin Bulk (UTB), Partially Depleted and Fully Depleted SOI MOSFET using Silvaco TCAD Tool Seema Verma1, Pooja Srivastava2, Juhi Dave3, Mukta Jain4, Priya

More information

SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET)

SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET) SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET) Zul Atfyi Fauzan M. N., Ismail Saad and Razali Ismail Faculty of Electrical Engineering, Universiti

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Lecture-45. MOS Field-Effect-Transistors Threshold voltage

Lecture-45. MOS Field-Effect-Transistors Threshold voltage Lecture-45 MOS Field-Effect-Transistors 7.4. Threshold voltage In this section we summarize the calculation of the threshold voltage and discuss the dependence of the threshold voltage on the bias applied

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Metal-Semiconductor and Semiconductor Heterojunctions The Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) is one of two major types of transistors. The MOSFET is used in digital circuit, because

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Nonideal Effect The experimental characteristics of MOSFETs deviate to some degree from the ideal relations that have been theoretically derived. Semiconductor Physics and Devices Chapter 11. MOSFET: Additional

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Performance Evaluation of MISISFET- TCAD Simulation

Performance Evaluation of MISISFET- TCAD Simulation Performance Evaluation of MISISFET- TCAD Simulation Tarun Chaudhary Gargi Khanna Rajeevan Chandel ABSTRACT A novel device n-misisfet with a dielectric stack instead of the single insulator of n-mosfet

More information

Power MOSFET Zheng Yang (ERF 3017,

Power MOSFET Zheng Yang (ERF 3017, ECE442 Power Semiconductor Devices and Integrated Circuits Power MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Evolution of low-voltage (

More information

EE301 Electronics I , Fall

EE301 Electronics I , Fall EE301 Electronics I 2018-2019, Fall 1. Introduction to Microelectronics (1 Week/3 Hrs.) Introduction, Historical Background, Basic Consepts 2. Rewiev of Semiconductors (1 Week/3 Hrs.) Semiconductor materials

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

Carbon Nanotubes FET based high performance Universal logic using Cascade Voltage Switch Logic

Carbon Nanotubes FET based high performance Universal logic using Cascade Voltage Switch Logic IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 7, Issue 5, Ver. I (Sep.-Oct. 2017), PP 40-47 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Carbon Nanotubes FET based high

More information

Two Dimensional Analytical Threshold Voltages Modeling for Short-Channel MOSFET

Two Dimensional Analytical Threshold Voltages Modeling for Short-Channel MOSFET Two Dimensional Analytical Threshold Voltages Modeling for Short-Channel MOSFET Sanjeev kumar Singh, Vishal Moyal Electronics & Telecommunication, SSTC-SSGI, Bhilai, Chhatisgarh, India Abstract- The aim

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE P a g e 80 Available online at http://arjournal.org APPLIED RESEARCH JOURNAL RESEARCH ARTICLE ISSN: 2423-4796 Applied Research Journal Vol. 3, Issue, 2, pp.80-86, February, 2017 COMPARATIVE STUDY ON SINGLE

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area. Why Scaling? Higher density : Integration of more transistors onto a smaller chip : reducing the occupying area and production cost Higher Performance : Higher current drive : smaller metal to metal capacitance

More information

AnAdderwithNovelPMOSandNMOSforUltraLowPowerApplicationsinDeepSubmicronTechnology

AnAdderwithNovelPMOSandNMOSforUltraLowPowerApplicationsinDeepSubmicronTechnology Electrical and Electronics Engineering Volume 13 Issue 14 Version 1.0 Year 2013 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals Inc. (USA) Online ISSN: 2249-4596

More information

Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch

Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch Institute of Semiconductor Electronics RWTH Aachen University Sommerfeldstraße 24 52074 Aachen Outline MOSFETs Operational

More information

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review SUPRATIM SAHA Assistant Professor, Department of ECE, Subharti Institute of Technology

More information

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Oleg Semenov, Andrzej Pradzynski * and Manoj Sachdev Dept. of Electrical and Computer Engineering,

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER International Journal Of Advance Research In Science And Engineering http:// LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER Raju Hebbale 1, Pallavi Hiremath 2 1,2 Department

More information

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Journal of Electron Devices, Vol. 18, 2013, pp. 1537-1542 JED [ISSN: 1682-3427 ] DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Suman Lata Tripathi and R. A.

More information

An Analytical model of the Bulk-DTMOS transistor

An Analytical model of the Bulk-DTMOS transistor Journal of Electron Devices, Vol. 8, 2010, pp. 329-338 JED [ISSN: 1682-3427 ] Journal of Electron Devices www.jeldev.org An Analytical model of the Bulk-DTMOS transistor Vandana Niranjan Indira Gandhi

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

SIMULATION OF EDGE TRIGGERED D FLIP FLOP USING SINGLE ELECTRON TRANSISTOR(SET)

SIMULATION OF EDGE TRIGGERED D FLIP FLOP USING SINGLE ELECTRON TRANSISTOR(SET) SIMULATION OF EDGE TRIGGERED D FLIP FLOP USING SINGLE ELECTRON TRANSISTOR(SET) Prashanth K V, Monish A G, Pavanjoshi, Madhan Kumar, KavyaS(Assistant professor) Department of Electronics and Communication

More information

A Review Of Conventional And Emerging Power Gating Techniques For Leakage Power Reduction

A Review Of Conventional And Emerging Power Gating Techniques For Leakage Power Reduction A Review Of Conventional And Emerging Power Gating Techniques For Leakage Power Reduction Sandip B. Rahane, A.K. Kureshi, Sachin D. Pable Abstract Leakage power reduction has become one of the top design

More information