Mixed Ionic Electronic Conduction (MIEC) based Access Devices for 3-D Crosspoint Memory

Size: px
Start display at page:

Download "Mixed Ionic Electronic Conduction (MIEC) based Access Devices for 3-D Crosspoint Memory"

Transcription

1 Mixed Ionic Electronic Conduction (MIEC) based Access Devices for 3-D Crosspoint Memory Kumar Virwani, G. W. Burr, R. S. Shenoy, G. Fraczak, C. T. Rettner, A. Padilla, R. S. King, K. Nguyen, A. N. Bowers, M. Jurich, M. BrightSky, E. A. Joseph, A. J. Kellock, N. Arellano, B. N. Kurdi, and K. Gopalakrishnan IBM Almaden Research Center IBM T. J. Watson Research Center Flash Memory Summit 2013

2 Motivation Outline MIEC access device characteristics DC IVs and pulse currents Large array yield and variability Thickness and CD scaling Crosspoint roles of an access device (AD) Long term leakage of un-selected and half-selected states Write operations and recovery to low leakage Read operations Conclusions 1

3 Need for an Access Device Access device needed in series with memory element Cut off current sneak paths that lead to incorrect sensing and wasted power Typically diodes used as access devices Could also use devices with highly non-linear I-V curves 2

4 Access Device for 3D Crosspoint Memory Basic Requirements High ON-state current density > 10 MA/cm 2 for PCM RESET Low OFF-state leakage ON/OFF ratio > 10 7 for large arrays BEOL-compatibility < 400 C processing for 3D memory with multi-layer stacking NVM memory element Access Device (AD) Bipolar operation (required for robust RRAM) à not possible with conventional diodes MIEC-based Access Devices satisfy all 4 criteria à ADs that could enable 3D for any low voltage NVM 3

5 4 Top Electrode MIEC Current - Cu-ion Motion Bottom Electrode + 100µA 10µA 1µA 100nA 10nA 1nA 100pA 200nm inert TEC 10pA 80nm BEC 1pA (K. Gopalakrishnan et al, 2010 VLSI Tech. Sym.) Cu-containing MIEC (Mixed-Ionic-Electronic-Conductor * ) Mobile Cu-ions à transport in E-field Cu interstitials/vacancies can act as dopants and modulate local electron/hole concentration, Schottky barriers at interfaces, etc. TEC MIEC BEC MIEC Device Operation Voltage [V] Applied voltage leads to Transient Cu-ion drift, followed by Steady-state electron/hole current (Gopalakrishnan et al, 2010 VLSI Tech. Sym.) *See I. Riess, Solid State Ionics, 157, 1 (2003) for MIEC models.

6 180 nm CMOS Front-End à 1T1S (1 transistor + 1 selector) MIEC Access Device Fabrication As-deposited 5 Post-CMP CMP process for MIEC material with modified commercial Cu slurry à self-aligned MIEC diode-in-via (DIV) in 200 mm wafer process (Shenoy et al, 2011 VLSI Tech. Sym.)

7 MIEC Device Performance Voltage margin = 1.1V Low (< 10pA) OFF state leakage currents near 0V bias High (> 400μA) ON state currents à current density > 15MA/cm 2 > 10 7 ON / OFF ratio Wide (0.8V) window with low current (<100pA) Endurance > 10 8 ~100μA currents (Shenoy et al, 2011 VLSI Tech. Sym.) 6

8 MIEC Yield & Variability 100% yield and tight distributions in 512 kbit 1T-1MIEC array 7 (Burr et al, 2012 VLSI Tech. Sym.)

9 C-AFM Short Loop for MIEC ADs C-AFM tip TEC Thickness scaling SiN MIEC d min oxide Si wafer BEC CD scaling 8 Conducting atomic force microscopy with doped diamond and / or solid Pt probes Minimal wiring requiring few lithography steps Diode-in-via (DIV) structure the same as transistor arrays à Vary SiN x dielectric thickness for thickness scaling (Virwani et al, 2012 IEDM)

10 Thickness scaling of MIEC ADs High-yield array of C-AFM short-loop devices Good Bad Good 9 SiN oxide Si wafer C-AFM tip TEC MIEC BEC d min MIEC devices work well down to 11nm thickness (6nm may be too thin) (Virwani et al, 2012 IEDM)

11 MIEC Access Devices CD Scaling Scaled MIEC devices also offer 1e7 ON-OFF contrast and high speed Conduct ~150μA pulse currents CDs <30nm demonstrated no lower CD limit yet identified (Virwani et al, 2012 IEDM) 10 MIEC Access Devices for 3-D Crosspoint Memory Flash Memory Summit 2013

12 AD requirements for 3D Crosspoint Memory ü High ON-state current density >10 MA/cm 2 for PCM / RRAM RESET ü Low OFF-state leakage current >10 7 ON/OFF ratio, and wide low-leakage (< 100pA) voltage zone to accommodate half-selected cells in large arrays ü Back-End process compatible <400C processing to allow 3D stacking ü Bipolar operation needed for optimum RRAM operation ü variability? ü yield? ü scalability? ü co-integration with NVM? ü turn-on speed for write? ü endurance? ü manufacturability? long-term leakage? turn-off speed? turn-on speed for read? PCM or RRAM Access Device

13 Crosspoint roles of the MIEC device Log(I) ~½V m 200mV (across un-selected ADs biased NEGATIVE) ~10pA un-select leakage V r V r V c 1. un-selected state shown to be stable over hours V r 12 MIEC VAccess c Devices for V3-D c Crosspoint Memory Flash Memory Summit 2013

14 0Volts Crosspoint roles of the MIEC device V Log(I) ~½V m (across half-selected ADs of same column) ~½V m 200mV (across un-selected ADs biased NEGATIVE) ~½V m (across half-selected ADs of same row) ~10nA half-select leakage ~10pA un-select leakage V V r V r V c 1. un-selected state must be stable over long periods 2. half-selected states must be maintained while same row (or column) is accessed 13 V r 0 à shown to be stable for seconds: millions of successive read/writes MIEC VAccess c Devices for V3-D c Crosspoint Memory Flash Memory Summit 2013

15 Log(I) 0Volts Crosspoint roles of the MIEC device ~½V m + 350mV + (across selected diode) V across selected cell + Required NVM voltage + + voltage drop across wiring V ~3-60uA Read or Write current Log(I) ~½V m (across half-selected ADs of same column) ~½V m 200mV (across un-selected ADs biased NEGATIVE) ~½V m (across half-selected ADs of same row) ~10nA half-select leakage ~10pA un-select leakage V 14 V r V r 0 V r V c 1. un-selected state must be stable over long periods 2. half-selected states must be maintained while same row (or column) is accessed 3. selected (for read or write) must pass desired current quickly then return to low leakage MIEC VAccess c Devices for V3-D c Crosspoint Memory Flash Memory Summit 2013

16 Write pulses depend on overvoltage Turn-ON delay (50uA write pulses) can be greatly reduced by overvoltage Current [ua] Current [ua] 0us 1us 2us No overvoltage Time 3us 4us 5us mV 0 50 uprobe & pad 25 VDUT AFG MIEC 100nm low VWL SCOPE + 750mV Ω V 0ns 250ns (DC) ns Time 750s 1us (Burr et al, 2013 VLSI Tech. Sym.) MIEC Access Devices for 3-D Crosspoint Memory Flash Memory Summit 2013

17 Native response at lower voltages Measured current 50uA 25uA 0uA 50uA 25uA 0uA 50uA 25uA 0uA 16 Native 0.9V 0 5us 10us Native 0.7V 0 1ms 2ms Native 0.5V 0 5ms 10ms (Burr et al, 2013 VLSI Tech. Sym.)

18 Measured current 17 How fast do devices recover after writes? 50uA 25uA 0uA 50uA 25uA 0uA 50uA 25uA 0uA Native 0.9V 0 5us 10us Native 0.7V 0 1ms 2ms Native 0.5V 0 5ms 10ms 50uA then 50uA 0.9V 0.9V 0 1us 2us 0 1us 2us 0.7V 0.7V 0 1us 2us 0 1us 2us 1us 0.5V 0 1us 2us 0 1us 2us then Time After a strong write pulse (50uA for 1us), MIEC AD response is affected: devices remain ON don t require any overvoltage acceleration to turn back ON at lower voltages where leakage should be undetectable, measurable currents can persist. (Burr et al, 2013 VLSI Tech. Sym.)

19 MIEC device recovery dynamics 5uA Leakage current (at 600mV) 3uA Post write (50uA) recovery (Fig. 8) Dotted fits are guide-to-the-eye only 18 1uA 300nA 0 Post read (6uA) recovery (Fig. 11) Static leakage at 600mV 0 500ns 1us 1.5us Recovery time at 0V Recovery even after a 50uA write pulse takes place within 1-2us (Burr et al, 2013 VLSI Tech. Sym.)

20 Read turn-on also accelerated by overvoltage Current 10uA 5uA 0uA 10uA 5uA 0uA 0us Current No overvoltage Time 10us 20us 30us 40us 50us + 300mV Current 15uA 10uA 100ns 50ns 25ns 10uA 5uA 0uA + 600mV 5uA 10uA 5uA 0uA V 0ns 500ns 1us 1.5us 2us 0uA 0ns 100ns Time 0ns 100ns 0ns 100ns Time Time Time Thick (d min ~75 nm) MIEC ADs can be turned ON rapidly with large overvoltage à transition from half-select to ~10uA read currents in <50ns (Burr et al, 2013 VLSI Tech. Sym.)

21 Conductive-AFM testing à thinner MIEC devices uprobe & pad VDUT AFG C-AFM tip MIEC dmin SCOPE 196Ω 100nm VWL TEC dmin MIEC BEC Proximate BEC contact (DC) dmin ~ 75 nm dmin ~ 47 nm 36 nm 28 nm 11 nm (Burr et al, 2013 VLSI Tech. Sym.) 20 5µm MIEC Access Devices for 3-D Crosspoint Memory Flash Memory Summit 2013

22 Thinner MIEC devices are inherently faster 10uA d min = 11nm 28nm Current 5uA 36nm 0uA 47nm 21 Time 0ns 500ns 1us (Burr et al, 2013 VLSI Tech. Sym.)

23 Thin MIEC devices à fast at modest overvoltage 100ns shaped pulse (max. overvoltage: 350mV) 10uA d min = 28nm Current 5uA 0uA Time -100ns 0ns 100ns 200ns 22 (Burr et al, 2013 VLSI Tech. Sym.)

24 Summary: Mixed-Ionic-Electronic-Conduction (MIEC) Access Device Strengths High enough ON currents for PCM cycling of PCM has been demonstrated Low enough OFF current for large arrays Very large (>>1e10) endurance for typical 5uA read currents Voltage margins > 1.5V with tight distributions à sufficient for large arrays CMP process demonstrated 512kBit arrays demonstrated w/ 100% yield Scalable to <30nm CD, <12nm thickness Capable of 15ns write, 50ns read Highly stable in un-/half-select conditions Weaknesses Maximum voltage across companion NVM during switching must be low (1-2V) à influences half-select condition and thus achievable array size Endurance during NVM programming is strongly dependent on programming current Gopalakrishnan, VLSI 2010 Shenoy, VLSI 2011 Burr, VLSI 2012 Virwani, IEDM 2012 Burr, VLSI 2013

25 Acknowledgements Teya Topuria, Phil Rice, Eugene Delenia, Leslie Krupp, David Hepner, and David Erpelding - expert analytical and processing support Microelectronics Research Laboratory (MRL) at IBM T. J. Watson Research Center Stanford Nanofabrication Facility (SNF) Colleagues at IBM Almaden and IBM T. J. Watson Research Centers Management support from Dr. Chung Lam, Dr. Winfried Wilcke, Dr. Spike Narayan, and Dr. T. C. Chen Thank you for your attention! Questions? Please contact kvirwan@us.ibm.com 24

26 For more information & MIEC team Overview of storage class memory and MIEC à G. W. Burr, K. Virwani, R. S. Shenoy, Gloria (Ho) Fraczak, C. T. Rettner, A. Padilla, R. S. King, K. Nguyen, A. N. Bowers, M. Jurich, M. BrightSky, E. A. Joseph, A. J. Kellock, N. Arellano, B. N. Kurdi and K. Gopalakrishnan, "Recovery Dynamics and Fast (Sub-50ns) Read Operation with Access Devices for 3D Crosspoint Memory Based on Mixed-IonicElectronic-Conduction (MIEC)," Symposium on VLSI Technology, T6.4, (2013). K. Virwani, G. W. Burr, Rohit S. Shenoy, C. T. Rettner, A. Padilla, T. Topuria, P. M. Rice, G. Ho, R. S. King, K. Nguyen, A. N. Bowers, M. Jurich, M. BrightSky, E. A. Joseph, A. J. Kellock, N. Arellano, B. N. Kurdi and Kailash Gopalakrishnan, Sub-30nm scaling and high-speed operation of fully-confined Access-Devices for 3-D crosspoint memory based on Mixed-Ionic-Electronic-Conduction (MIEC) Materials, IEDM Technical Digest, 2.7, (2012). Geoffrey W. Burr, Kumar Virwani, R. S. Shenoy, Alvaro Padilla, M. BrightSky, E. A. Joseph, M. Lofaro, A. J. Kellock, R. S. King, K. Nguyen, A. N. Bowers, M. Jurich, C. T. Rettner, B. Jackson, D. S. Bethune, R. M. Shelby, T. Topuria, N. Arellano, P. M. Rice, Bulent N. Kurdi, and K. Gopalakrishnan, Large-scale (512kbit) integration of Multilayer-ready Access-Devices based on Mixed-Ionic- Electronic-Conduction (MIEC) at 100% yield, Symposium on VLSI Technology, T5.4, (2012). R. S. Shenoy, K. Gopalakrishnan, Bryan Jackson, K. Virwani, G. W. Burr, C. T. Rettner, A. Padilla, Don S. Bethune, R. M. Shelby, A. J. Kellock, M. Breitwisch, E. A. Joseph, R. Dasaka, R. S. King, K. Nguyen, A. N. Bowers, M. Jurich, A. M. Friz, T. Topuria, P. M. Rice, and B. N. Kurdi, Endurance and Scaling Trends of Novel Access-Devices for Multi-Layer Crosspoint Memory based on Mixed Ionic Electronic Conduction (MIEC) Materials, Symposium on VLSI Technology, T5B-1, (2011). K. Gopalakrishnan, R. S. Shenoy, C. T. Rettner, K. Virwani, Don S. Bethune, R. M. Shelby, G. W. Burr, A. J. Kellock, R. S. King, K. Nguyen, A. N. Bowers, M. Jurich, B. Jackson, A. M. Friz, T. Topuria, P. M. Rice, and B. N. Kurdi, "Highly-Scalable Novel Access Device based on Mixed Ionic Electronic Conduction (MIEC) Materials for High Density Phase Change Memory (PCM) Arrays," Symposium on VLSI Technology, 19.4, (2010). G. W. Burr, Matt J. Breitwisch, Michele Franceschini, Davide Garetto, K. Gopalakrishnan, B. Jackson, B. Kurdi, C. Lam, Luis A. Lastras, A. Padilla, Bipin Rajendran, S. Raoux, and R. Shenoy, "Phase change memory technology," Journal of Vacuum Science & Technology B, 28(2), , (2010). G. W. Burr, B. N. Kurdi, J. C. Scott, C. H. Lam, K. Gopalakrishnan, and R. S. Shenoy, "An overview of candidate device technologies for Storage-Class Memory," IBM Journal of Research and Development, 52(4/5), 449 (2008). S. Raoux, G. W. Burr, M. J. Breitwisch, C. T. Rettner, Y. Chen, R. M. Shelby, M. Salinga, D. Krebs, S. Chen, H. L. Lung, and C. H. Lam, "Phase-change random access memory a scalable technology," IBM Journal of Research and Development, 52(4/5), 465,, (2008). Rich Freitas and Winfried Wilcke, Storage Class Memory, the next storage system technology, IBM Journal of Research and Development, 52(4/5), 439, (2008).

Fabrication and Characterization of Emerging Nanoscale Memory

Fabrication and Characterization of Emerging Nanoscale Memory Fabrication and Characterization of Emerging Nanoscale Memory Yuan Zhang, SangBum Kim, Byoungil Lee, Marissa Caldwell(*), and (*) Chemistry Department Stanford University, Stanford, California, U.S.A.

More information

In pursuit of high-density storage class memory

In pursuit of high-density storage class memory Edition October 2017 Semiconductor technology & processing In pursuit of high-density storage class memory A novel thermally stable GeSe-based selector paves the way to storage class memory applications.

More information

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its

More information

A Survey of Cross Point Phase

A Survey of Cross Point Phase A Survey of Cross Point Phase Change Memory Technologies DerChang Kau Intel Corporation Santa Clara, CA Sematech International Symposium on Advanced Gate Stack Technology 1 Sep/30/2010 Hilton Garden Inn,

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Energy and Performance Driven Circuit Design for Emerging Phase-Change Memory

Energy and Performance Driven Circuit Design for Emerging Phase-Change Memory Energy and Performance Driven Circuit Design for Emerging Phase-Change Memory Dimin Niu, Yibo Chen, Xiangyu Dong, Yuan Xie The Pennsylvania State University, University Park, PA, USA {dun118, yxc236, xydong,

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage 64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage Yufeng Xie a), Wenxiang Jian, Xiaoyong Xue, Gang Jin, and Yinyin Lin b) ASIC&System State Key Lab, Dept. of

More information

Non-Volatile Memory Based on Solid Electrolytes

Non-Volatile Memory Based on Solid Electrolytes Non-Volatile Memory Based on Solid Electrolytes Michael Kozicki Chakku Gopalan Murali Balakrishnan Mira Park Maria Mitkova Center for Solid State Electronics Research Introduction The electrochemical redistribution

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Resistive Switching Memory in Integration

Resistive Switching Memory in Integration EDS Mini Colloquim WIMNACT 39, Tokyo Resistive Switching Memory in Integration Ming Liu Institute of Microelectronics, CAS Feb.7, 2014 Outline Motivation RRAM Integration Self-Rectifying RRAM 1D1R Integration

More information

Quad SPST JFET Analog Switch SW06

Quad SPST JFET Analog Switch SW06 a FEATURES Two Normally Open and Two Normally Closed SPST Switches with Disable Switches Can Be Easily Configured as a Dual SPDT or a DPDT Highly Resistant to Static Discharge Destruction Higher Resistance

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

Impact of Basal Plane Dislocations and Ruggedness of 10 kv 4H-SiC Transistors

Impact of Basal Plane Dislocations and Ruggedness of 10 kv 4H-SiC Transistors 11th International MOS-AK Workshop (co-located with the IEDM and CMC Meetings) Silicon Valley, December 5, 2018 Impact of Basal Plane Dislocations and Ruggedness of 10 kv 4H-SiC Transistors *, A. Kumar,

More information

Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor

Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor Department of Applied Physics Korea University Personnel Profile (Affiliation

More information

High-speed logic integrated circuits with solutionprocessed self-assembled carbon nanotubes

High-speed logic integrated circuits with solutionprocessed self-assembled carbon nanotubes In the format provided by the authors and unedited. DOI: 10.1038/NNANO.2017.115 High-speed logic integrated circuits with solutionprocessed self-assembled carbon nanotubes 6 7 8 9 10 11 12 13 14 15 16

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

RRAM based analog synapse device for neuromorphic system

RRAM based analog synapse device for neuromorphic system RRAM based analog synapse device for neuromorphic system Kibong Moon, Euijun Cha, and Hyunsang Hwang Pohang University of Science and Technology (POSTECH), Korea The 13 th Korea-U.S. Forum on Nanotechnology,

More information

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor DRAM & Flexible RRAM This Week s Subject p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor CMOS Logic Inverter NAND gate NOR gate CMOS Integration & Layout GaAs MESFET (JFET) 1 Flexible

More information

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE P a g e 80 Available online at http://arjournal.org APPLIED RESEARCH JOURNAL RESEARCH ARTICLE ISSN: 2423-4796 Applied Research Journal Vol. 3, Issue, 2, pp.80-86, February, 2017 COMPARATIVE STUDY ON SINGLE

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02 EE 5611 Introduction to Microelectronic Technologies Fall 2014 Thursday, September 04, 2014 Lecture 02 1 Lecture Outline Review on semiconductor materials Review on microelectronic devices Example of microelectronic

More information

Gallium nitride (GaN)

Gallium nitride (GaN) 80 Technology focus: GaN power electronics Vertical, CMOS and dual-gate approaches to gallium nitride power electronics US research company HRL Laboratories has published a number of papers concerning

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

CMOS Analog Integrate-and-fire Neuron Circuit for Driving Memristor based on RRAM

CMOS Analog Integrate-and-fire Neuron Circuit for Driving Memristor based on RRAM JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.2.174 ISSN(Online) 2233-4866 CMOS Analog Integrate-and-fire Neuron

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801 Comparative study of self-aligned and nonself-aligned SiGe p-metal oxide semiconductor modulation-doped field effect transistors with nanometer gate lengths Wu Lu Department of Electrical and Computer

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Nanoscale switching in resistive memory structures

Nanoscale switching in resistive memory structures Nanoscale switching in resistive memory structures D. Deleruyelle, C. Dumas, M. Carmona, Ch. Muller IM2NP UMR CNRS 6242 & Institut Carnot STAR Polytech Marseille, Université de Provence IMT Technopôle

More information

Journal of Electron Devices, Vol. 20, 2014, pp

Journal of Electron Devices, Vol. 20, 2014, pp Journal of Electron Devices, Vol. 20, 2014, pp. 1786-1791 JED [ISSN: 1682-3427 ] ANALYSIS OF GIDL AND IMPACT IONIZATION WRITING METHODS IN 100nm SOI Z-DRAM Bhuwan Chandra Joshi, S. Intekhab Amin and R.

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Lecture 2 p-n junction Diode characteristics. By Asst. Prof Dr. Jassim K. Hmood

Lecture 2 p-n junction Diode characteristics. By Asst. Prof Dr. Jassim K. Hmood Electronic I Lecture 2 p-n junction Diode characteristics By Asst. Prof Dr. Jassim K. Hmood THE p-n JUNCTION DIODE The pn junction diode is formed by fabrication of a p-type semiconductor region in intimate

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Supplementary Figures

Supplementary Figures Supplementary Figures Supplementary Figure 1. The schematic of the perceptron. Here m is the index of a pixel of an input pattern and can be defined from 1 to 320, j represents the number of the output

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

isagers. Three aicron gate spacing was

isagers. Three aicron gate spacing was LIJEAR POLY GATE CHARGE COUPLED DEVICE IMAGING ARRAYS Lucien Randazzese Senior Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A five cask level process was used to fabricate

More information

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors Veerendra Dhyani 1, and Samaresh Das 1* 1 Centre for Applied Research in Electronics, Indian Institute of Technology Delhi, New Delhi-110016,

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Binary Neural Network and Its Implementation with 16 Mb RRAM Macro Chip

Binary Neural Network and Its Implementation with 16 Mb RRAM Macro Chip Binary Neural Network and Its Implementation with 16 Mb RRAM Macro Chip Assistant Professor of Electrical Engineering and Computer Engineering shimengy@asu.edu http://faculty.engineering.asu.edu/shimengyu/

More information

Power MOSFET Zheng Yang (ERF 3017,

Power MOSFET Zheng Yang (ERF 3017, ECE442 Power Semiconductor Devices and Integrated Circuits Power MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Evolution of low-voltage (

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications Radhakrishnan Sithanandam and M. Jagadesh Kumar, Senior Member, IEEE Department of Electrical Engineering Indian Institute

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design Introduction & Motivation by A. Steininger and M. Delvai Vienna University of Technology Outline Challenges in Digital Design The Role of Time in the Design The Fundamental Design

More information

IMI Labs Semiconductor Applications. June 20, 2016

IMI Labs Semiconductor Applications. June 20, 2016 IMI Labs Semiconductor Applications June 20, 2016 Materials Are At the Core of Innovation in the 21st Century Weight Space Flexibility Heat Management Lightweight Energy Efficient Temperature Energy Efficient

More information

Tunneling Field Effect Transistors for Low Power ULSI

Tunneling Field Effect Transistors for Low Power ULSI Tunneling Field Effect Transistors for Low Power ULSI Byung-Gook Park Inter-university Semiconductor Research Center and School of Electrical and Computer Engineering Seoul National University Outline

More information

AE53/AC53/AT53/AE103 ELECT. DEVICES & CIRCUITS DEC 2015

AE53/AC53/AT53/AE103 ELECT. DEVICES & CIRCUITS DEC 2015 Q.2 a. By using Norton s theorem, find the current in the load resistor R L for the circuit shown in Fig.1. (8) Fig.1 IETE 1 b. Explain Z parameters and also draw an equivalent circuit of the Z parameter

More information

Chalcogenide Memory, Logic and Processing Devices. Prof C David Wright Department of Engineering University of Exeter

Chalcogenide Memory, Logic and Processing Devices. Prof C David Wright Department of Engineering University of Exeter Chalcogenide Memory, Logic and Processing Devices Prof C David Wright Department of Engineering University of Exeter (david.wright@exeter.ac.uk) Acknowledgements University of Exeter Yat-Yin Au, Jorge

More information

Status and Prospect for MRAM Technology

Status and Prospect for MRAM Technology Status and Prospect for MRAM Technology Dr. Saied Tehrani Nonvolatile Memory Seminar Hot Chips Conference August 22, 2010 Memorial Auditorium Stanford University Everspin Technologies, Inc. - 2010 Agenda

More information

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1 Semiconductor Device & Analysis Center Berlin University of Technology Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices Christian.Boit@TU-Berlin.DE 1 Semiconductor Device

More information

HIGH PERFORMANCE SILVER DIFFUSIVE MEMRISTORS FOR FUTURE COMPUTING

HIGH PERFORMANCE SILVER DIFFUSIVE MEMRISTORS FOR FUTURE COMPUTING University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses Dissertations and Theses 2017 HIGH PERFORMANCE SILVER DIFFUSIVE MEMRISTORS FOR FUTURE COMPUTING Rivu Midya University of Massachusetts

More information

Open Access. C.H. Ho 1, F.T. Chien 2, C.N. Liao 1 and Y.T. Tsai*,1

Open Access. C.H. Ho 1, F.T. Chien 2, C.N. Liao 1 and Y.T. Tsai*,1 56 The Open Electrical and Electronic Engineering Journal, 2008, 2, 56-61 Open Access Optimum Design for Eliminating Back Gate Bias Effect of Silicon-oninsulator Lateral Double Diffused Metal-oxide-semiconductor

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

Performance of a-si:h Photodiode Technology-Based Advanced CMOS Active Pixel Sensor Imagers

Performance of a-si:h Photodiode Technology-Based Advanced CMOS Active Pixel Sensor Imagers Performance of a-si:h Photodiode Technology-Based Advanced CMOS Active Pixel Sensor Imagers Jeremy A. Theil *, Homayoon Haddad, Rick Snyder, Mike Zelman, David Hula, and Kirk Lindahl Imaging Electronics

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Resonant Tunneling Device. Kalpesh Raval

Resonant Tunneling Device. Kalpesh Raval Resonant Tunneling Device Kalpesh Raval Outline Diode basics History of Tunnel diode RTD Characteristics & Operation Tunneling Requirements Various Heterostructures Fabrication Technique Challenges Application

More information

Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture

Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture Cong Xu, Dimin Niu, Shimeng Yu, Yuan Xie, Pennsylvania State University, {czx102,dun118,yuanxie}@cse.psu.edu

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Chenming Hu and Je Min Park Univ. of California, Berkeley -1- Outline Introduction Background and Motivation MOSFETs with Vacuum-Spacer

More information

Nano-structured superconducting single-photon detector

Nano-structured superconducting single-photon detector Nano-structured superconducting single-photon detector G. Gol'tsman *a, A. Korneev a,v. Izbenko a, K. Smirnov a, P. Kouminov a, B. Voronov a, A. Verevkin b, J. Zhang b, A. Pearlman b, W. Slysz b, and R.

More information

Production of HPDs for the LHCb RICH Detectors

Production of HPDs for the LHCb RICH Detectors Production of HPDs for the LHCb RICH Detectors LHCb RICH Detectors Hybrid Photon Detector Production Photo Detector Test Facilities Test Results Conclusions IEEE Nuclear Science Symposium Wyndham, 24 th

More information

C-V AND I-V MEASUREMENT SYSTEMS WINDOWS SOFTWARE

C-V AND I-V MEASUREMENT SYSTEMS WINDOWS SOFTWARE C-V AND I-V MEASUREMENT SYSTEMS WINDOWS SOFTWARE Whether you require a simple C-V plotter to measure mobile ion contamination or an advanced system to measure multi-frequency C-V, I-V, TVS, or gate oxide

More information

IMPACT OF 450MM ON CMP

IMPACT OF 450MM ON CMP IMPACT OF 450MM ON CMP MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING, LLC MCORBETT@LINX-CONSULTING.COM PREPARED FOR CMPUG JULY 2011 LINX CONSULTING Outline 1. Overview of Linx Consulting 2. CMP Outlook/Drivers

More information

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI 1 Integrated diodes pn junctions of transistor structures can be used as integrated diodes. The choice of the junction is limited by the considerations of switching speed and breakdown voltage. The forward

More information

VLSI Designed Low Power Based DPDT Switch

VLSI Designed Low Power Based DPDT Switch International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 8, Number 1 (2015), pp. 81-86 International Research Publication House http://www.irphouse.com VLSI Designed Low

More information

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email:

More information

Opportunities and Challenges for Nanoelectronic Devices and Processes

Opportunities and Challenges for Nanoelectronic Devices and Processes The Sixth U.S.-Korea Forum on Nanotechnology, April 28-29, 2009, Las Vegas, NV Opportunities and Challenges for Nanoelectronic Devices and Processes Yoshio Nishi Professor, Electrical Engineering, Material

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor.

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor. Solid State Devices Dr. S. Karmalkar Department of Electronics and Communication Engineering Indian Institute of Technology, Madras Lecture - 38 MOS Field Effect Transistor In this lecture we will begin

More information

VLSI Design. Introduction

VLSI Design. Introduction Tassadaq Hussain VLSI Design Introduction Outcome of this course Problem Aims Objectives Outcomes Data Collection Theoretical Model Mathematical Model Validate Development Analysis and Observation Pseudo

More information

Simulation and test of 3D silicon radiation detectors

Simulation and test of 3D silicon radiation detectors Simulation and test of 3D silicon radiation detectors C.Fleta 1, D. Pennicard 1, R. Bates 1, C. Parkes 1, G. Pellegrini 2, M. Lozano 2, V. Wright 3, M. Boscardin 4, G.-F. Dalla Betta 4, C. Piemonte 4,

More information

HAL , 508, 509, HAL Hall Effect Sensor Family

HAL , 508, 509, HAL Hall Effect Sensor Family MICRONAS INTERMETALL HAL1...6, 8, 9, HAL16...18 Hall Effect Sensor Family Edition April Feb. 4, 16, 1996 1999 61-36-1DS 61-48-1DS MICRONAS HALxx Contents Page Section Title 3 1. Introduction 3 1.1. Features

More information

Department of Electrical Engineering IIT Madras

Department of Electrical Engineering IIT Madras Department of Electrical Engineering IIT Madras Sample Questions on Semiconductor Devices EE3 applicants who are interested to pursue their research in microelectronics devices area (fabrication and/or

More information

HA-2600, HA Features. 12MHz, High Input Impedance Operational Amplifiers. Applications. Pinouts. Ordering Information

HA-2600, HA Features. 12MHz, High Input Impedance Operational Amplifiers. Applications. Pinouts. Ordering Information HA26, HA26 September 998 File Number 292.3 2MHz, High Input Impedance Operational Amplifiers HA26/26 are internally compensated bipolar operational amplifiers that feature very high input impedance (MΩ,

More information

Conductance switching in Ag 2 S devices fabricated by sulphurization

Conductance switching in Ag 2 S devices fabricated by sulphurization 3 Conductance switching in Ag S devices fabricated by sulphurization The electrical characterization and switching properties of the α-ag S thin films fabricated by sulfurization are presented in this

More information

End-of-line Standard Substrates For the Characterization of organic

End-of-line Standard Substrates For the Characterization of organic FRAUNHOFER INSTITUTe FoR Photonic Microsystems IPMS End-of-line Standard Substrates For the Characterization of organic semiconductor Materials Over the last few years, organic electronics have become

More information

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

3D SOI elements for System-on-Chip applications

3D SOI elements for System-on-Chip applications Advanced Materials Research Online: 2011-07-04 ISSN: 1662-8985, Vol. 276, pp 137-144 doi:10.4028/www.scientific.net/amr.276.137 2011 Trans Tech Publications, Switzerland 3D SOI elements for System-on-Chip

More information

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2 Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS 2 /hon a 300- BN/graphene heterostructures. a, CVD-grown b, Graphene was patterned into graphene strips by oxygen monolayer

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Supporting Information. Vertical Graphene-Base Hot-Electron Transistor

Supporting Information. Vertical Graphene-Base Hot-Electron Transistor Supporting Information Vertical Graphene-Base Hot-Electron Transistor Caifu Zeng, Emil B. Song, Minsheng Wang, Sejoon Lee, Carlos M. Torres Jr., Jianshi Tang, Bruce H. Weiller, and Kang L. Wang Department

More information

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th BCD Smart Power Roadmap Trends and Challenges Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th Outline 2 Introduction Major Trends in Smart Power ASICs An insight on (some) differentiating

More information

Co Capping Layers for Cu/Low-k Interconnects

Co Capping Layers for Cu/Low-k Interconnects IBM Research Co Capping Layers for /Low-k Interconnects Chih-Chao Yang IBM ChihChao@us.ibm.com Co-Authors: International Business Machines Corp. P. Flaitz, B. Li, F. Chen, C. Christiansen, and D. Edelstein

More information

REVIEW OF SOLID-STATE MODULATORS

REVIEW OF SOLID-STATE MODULATORS REVIEW OF SOLID-STATE MODULATORS E. G. Cook, Lawrence Livermore National Laboratory, USA Abstract Solid-state modulators for pulsed power applications have been a goal since the first fast high-power semiconductor

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

A Differential 2R Crosspoint RRAM Array with Zero Standby Current

A Differential 2R Crosspoint RRAM Array with Zero Standby Current 1 A Differential 2R Crosspoint RRAM Array with Zero Standby Current Pi-Feng Chiu, Student Member, IEEE, and Borivoje Nikolić, Senior Member, IEEE Department of Electrical Engineering and Computer Sciences,

More information

Real time plasma etch control by means of physical plasma parameters with HERCULES

Real time plasma etch control by means of physical plasma parameters with HERCULES Real time plasma etch control by means of physical plasma parameters with HERCULES A. Steinbach 1) S. Bernhard 1) M. Sussiek 4) S. Wurm 2) Ch. Koelbl 3) D. Knobloch 1) Siemens, Dresden Siemens at International

More information

MAGNETORESISTIVE random access memory

MAGNETORESISTIVE random access memory 132 IEEE TRANSACTIONS ON MAGNETICS, VOL. 41, NO. 1, JANUARY 2005 A 4-Mb Toggle MRAM Based on a Novel Bit and Switching Method B. N. Engel, J. Åkerman, B. Butcher, R. W. Dave, M. DeHerrera, M. Durlam, G.

More information

P4C1299/P4C1299L. ULTRA HIGH SPEED 64K x 4 STATIC CMOS RAM FEATURES DESCRIPTION. Full CMOS, 6T Cell. Data Retention with 2.0V Supply (P4C1299L)

P4C1299/P4C1299L. ULTRA HIGH SPEED 64K x 4 STATIC CMOS RAM FEATURES DESCRIPTION. Full CMOS, 6T Cell. Data Retention with 2.0V Supply (P4C1299L) FEATURES Full CMOS, 6T Cell High Speed (Equal Access and Cycle Times) 15/20/25/35 ns (Commercial/Industrial) 15/20/25/35/45 ns (Military) Low Power Operation Single 5V±10% Power Supply Output Enable (OE)

More information