Fabrication and Characterization of Emerging Nanoscale Memory

Size: px
Start display at page:

Download "Fabrication and Characterization of Emerging Nanoscale Memory"

Transcription

1 Fabrication and Characterization of Emerging Nanoscale Memory Yuan Zhang, SangBum Kim, Byoungil Lee, Marissa Caldwell(*), and (*) Chemistry Department Stanford University, Stanford, California, U.S.A. Center for Integrated Systems

2 Non-Volatile Memory Technology Research Initiative (NMTRI) at Stanford University I TEXAS NSTRUMENTS 2

3 Memory In Your Hands (~2010) C. Kim, Future Memory Technology: Trends and Challenges, Plenary paper, ISQED (2006). 3

4 Outline Opportunities for emerging memories Study of phase change nanodots NiO Resistance change memory Beyond the memory cell Integration of nanowire selective diode with phase change memory cell Summary 4

5 Memory Market: DRAM and Flash Dominate 80 Billions of Dollars 60 Flash DRAM Ref: isuppli, 3Q 2006 Other Memory Other Non-volatile Memory NOR NAND SRAM DRAM Source: Gary Bronner (Rambus), Stanford EE 309 lecture, Fall

6 Phase Change Memory Basic Structure: Operation Principle: Device operates by switching between low resistance SET state and high resistance RESET state. Phase change principal: V + Switching behavior: 6 S. Lai, H.-S. "Current Philip Wong status of the phase change memory and its future," IEDM Tech. Dig., pp , December Department of Electrical Engineering

7 Reset Current Reduction Reducing reset current is one of the most important issues of phase change memory Reduce contact area (brute force) Engineer the device structure to achieve highest heating for a certain current Engineer the interface thermal and electrical resistance of the GST / electrode interface Engineer the electrical and thermal properties of the phase change material 7

8 Reset Current Reduction Needed Typical high performance transistor drive current ~ 1mA/μm At 32 nm, for W/L=4, I=128 μa I RESET = 128 μa 8 Diameter = 18 nm (S. Lai et al.) A. Pirovano, A. L. Lacaita, A. Benvenuti, F. Pellizzer, S. Hudgens, and R. Bez, "Scaling analysis of phase-change memory technology," IEDM Tech. Dig., pp , December 2003.

9 Phase Change Nanodot by Self-Assembly Diblock copolymer PS-PMMA template and lift-off process PS hole size distribution 0.2 Diameter fitting curve AIST nanodots Density Mean: ~18.5nm Sizes of PMMA Holes (nm) 200nm 200nm 9 Y. Zhang, S. Raoux, D. Krebs, L.E. Krupp, T. Topuria, M. Caldwell, D.J. Milliron, P.M. Rice, J. Jordan-Sweet, H.- S. P. Wong, Crystallization Characteristics of Phase Change Nanoparticle Arrays Fabricated by Self-Assembly Based Lithography, Materials Research Society (MRS) Symposium, San Francisco, CA, USA, paper G8.5, March 24 28, 2008

10 Stanford University nm Phase Change Nanodots Ge2Sb2Te5 GeSb AIST (AgInSbTe) 200nm GeSb AIST Y. Zhang, S. Raoux, J. N. Cha, L. E. Krupp, C. T. Rettner, H.-S. P. Wong, Transition Behavior of High Density Ordered Phase Change Nanostructure from Diblock Copolymer Template, MRS Spring Meeting, Symposium I, paper I-12.8, April 10-12, San Francisco,

11 XRD Results of GeSb Nanodots 15nm film 2.5nm film Thin film (003) (003) nanodots (003) Nanodots (012) Nanodots start to crystallize at much higher temperature than blanket films. Y. Zhang, S. Raoux, D. Krebs, L.E. Krupp, T. Topuria, M. Caldwell, D.J. Milliron, P.M. Rice, J. Jordan-Sweet, H.-S. P. Wong, Crystallization Characteristics of Phase Change Nanoparticle Arrays Fabricated by Self-Assembly Based Lithography, Materials Research Society (MRS) Symposium, San Francisco, CA, USA, paper G8.5, March 24 28,

12 XRD Results of GST Nanodots 15nm film (004) 3.6nm film (004) nanodots (111) (101) (101) (101) (200) For thicker film, crystallization transit into fcc phase at 160 o C, and hcp phase at 380 o C. For thin film, the transition is directly into rhombohedral phase at 350 o C. For nanodots, the fcc phase transition does not happen, consistent with very thin GST blanket film. Y. Zhang, S. Raoux, D. Krebs, L.E. Krupp, T. Topuria, M. Caldwell, D.J. Milliron, P.M. Rice, J. Jordan-Sweet, H.-S. P. Wong, Crystallization Characteristics of Phase Change Nanoparticle 12 Arrays H.-S. Fabricated Philip Wong by Self-Assembly Based Lithography, Materials Research Society (MRS) Symposium, San Francisco, Department CA, USA, of paper Electrical G8.5, March Engineering 24 28, 2008

13 Metal Oxide M-I-M Memory Motivation: Low programming voltage (< 3V) Low programming current Uni-directional programming Easier to build cross-point array Material set compatible with conventional semiconductor processing (e.g Ni) Key issues: Physics of resistive switching Device scaling properties 13

14 NiO Microstructure 200 nm Pt NiO Pt Columnar grains D.C. Kim, M.J. Lee et al., Improvement of resistive memory switching in NiO using IrO 2, Appl. Phys. Lett., Vol. 88, p (2006) B.I. Lee, H.-S. P. Wong, unpublished. 14

15 Fabricated Structure Pt NiO Pt SiO 2 Si Cross-section < Schematic of the fabricated structure. NiO thickness = 20nm > 15

16 Beyond The Memory Cell Cell selection device for crosspoint memory 16

17 Cross-Point Memory Cell Selection Device Requirements: Stackable, low temperature processing Enough current drive for programming Unidirectional and (ideally, bi-directional) programming BL n-1 BL n BL n+1 BL n-1 BL n BL n+1 V/2 0 WL m+1 WL m Leakage current V Selected cell WL m+1 WL m V Selected cell V/2 Half-Selected cell 0 WL m-1 WL m-1 V/2 0 V/2 Without Diode V 0 V With Diode 17

18 Oxide Diode For 3D Stacking of Crosspoints TE Binary Oxide BE P-type oxide N-type oxide Silicon or Oxide Diode - Uses NiO as p-type, TiO 2 as n-type semiconductor with φ50um.(~10 2 A/cm 2 ) - Process temperature < Current density needs to be increased by a few orders for reasonably small OxRRAM cells. Oxide diode property Binary oxide switching 10-1 Switching combined with oxide diode Current (A) Voltage (V) Current (A) Source: S. Seo (2006) On state Off state Voltage (V) Current (A) On state Off state Voltage (V) 18

19 Cross-Point Memory Array with Selective Nanowire Diode Bitline (B/L) SL SL m+1 SL m-1 WL WL n WL n n WL WL n Memory element WL n-1 WL n n WL WL n Nanowire diode Top electrode WL n Bottom electrode WL n+1 n Diode plus memory element Wordline (W/L) Y. Zhang, S. Kim, J.P. McVittie, H. Jagannathan, J.B. Ratchford, C.E.D. Chidsey, Y. Nishi, and H.-S. P. Wong, An Integrated Phase Change Memory Cell With Ge Nanowire Diode For Cross-Point Memory, Symp. VLSI Technology, pp , June 12 14, 2007, Kyoto, Japan. 19

20 Nanowire Bottom Electrode Small diameter electrode, reduces programming current Route to pn-junction diode selection device Low-temperature (<350C) nanowire synthesis 40nm N-type Ge nanowire 100nm TiN 25nm GST Ge nanowires 200nm SiO2 SiO2 P-type Si (111) Si Substrate Y. Zhang, S. Kim, J.P. McVittie, H. Jagannathan, J.B. Ratchford, C.E.D. Chidsey, Y. Nishi, and H.-S. P. Wong, An Integrated Phase Change Memory Cell With Ge Nanowire Diode For Cross-Point Memory, Symp. VLSI Technology, pp , June 12 14, 2007, Kyoto, Japan. 20

21 Nanowire Diode + Phase Change Memory I forward (ua) µm 5µm 2µm 1µm 500nm V forward (V) Y. Zhang, S. Kim, J.P. McVittie, H. Jagannathan, J.B. Ratchford, C.E.D. Chidsey, Y. Nishi, and H.-S. P. Wong, An Integrated Phase Change Memory Cell With Ge Nanowire Diode For Cross-Point Memory, Symp. VLSI Technology, pp , June 12 14, 2007, Kyoto, Japan. 21

22 Repetitive Pulse SET/RESET Programming 100M V READ =3V Programming Current RESET : 173µA SET : 45.5µA R (Ω) 10M 1M Times 2µm 2µm pad size Pulse conditions RESET : 8.5V 5ns/1µs/5ns SET : 5.5V 100ns/20µs/100ns * type : amplitude(v) rising time /pulse width / falling time Current (A) 2.0x x x x10-5 Programming Current Times Y. Zhang, S. Kim, J.P. McVittie, H. Jagannathan, J.B. Ratchford, C.E.D. Chidsey, Y. Nishi, and H.-S. P. Wong, An Integrated Phase Change Memory Cell With Ge Nanowire Diode For Cross-Point Memory, Symp. VLSI Technology, pp , June 12 14, 2007, Kyoto, Japan. 22

23 Conclusions 23 New materials enable new memory devices Plenty of new materials, difficult to satisfy memory requirements Scalability is a key issue Material property, stackable, small cell size, multi-bit/cell Nanofabrication techniques has been applied to study nano-scale memory device characteristics Nanocrystal synthesis and diblock copolymer method for sub- 20nm phase change nanodots Ebeam lithography for sub-100nm NiO memory arrays Nanowire diode as selection device for PCM cell Future applications will be enabled by new memory technologies

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Mixed Ionic Electronic Conduction (MIEC) based Access Devices for 3-D Crosspoint Memory

Mixed Ionic Electronic Conduction (MIEC) based Access Devices for 3-D Crosspoint Memory Mixed Ionic Electronic Conduction (MIEC) based Access Devices for 3-D Crosspoint Memory Kumar Virwani, G. W. Burr, R. S. Shenoy, G. Fraczak, C. T. Rettner, A. Padilla, R. S. King, K. Nguyen, A. N. Bowers,

More information

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its

More information

A Survey of Cross Point Phase

A Survey of Cross Point Phase A Survey of Cross Point Phase Change Memory Technologies DerChang Kau Intel Corporation Santa Clara, CA Sematech International Symposium on Advanced Gate Stack Technology 1 Sep/30/2010 Hilton Garden Inn,

More information

Energy and Performance Driven Circuit Design for Emerging Phase-Change Memory

Energy and Performance Driven Circuit Design for Emerging Phase-Change Memory Energy and Performance Driven Circuit Design for Emerging Phase-Change Memory Dimin Niu, Yibo Chen, Xiangyu Dong, Yuan Xie The Pennsylvania State University, University Park, PA, USA {dun118, yxc236, xydong,

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Nanoscale switching in resistive memory structures

Nanoscale switching in resistive memory structures Nanoscale switching in resistive memory structures D. Deleruyelle, C. Dumas, M. Carmona, Ch. Muller IM2NP UMR CNRS 6242 & Institut Carnot STAR Polytech Marseille, Université de Provence IMT Technopôle

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Non-Volatile Memory Based on Solid Electrolytes

Non-Volatile Memory Based on Solid Electrolytes Non-Volatile Memory Based on Solid Electrolytes Michael Kozicki Chakku Gopalan Murali Balakrishnan Mira Park Maria Mitkova Center for Solid State Electronics Research Introduction The electrochemical redistribution

More information

Core Circuit Technologies for PN-Diode-Cell PRAM

Core Circuit Technologies for PN-Diode-Cell PRAM 128 HEE-BOK KANG et al : CORE CIRCUIT TECHNOLOGIES FOR PN-DIODE-CELL PRAM Core Circuit Technologies for PN-Diode-Cell PRAM Hee-Bok Kang*, Suk-Kyoung Hong*, Sung-Joo Hong*, Man Young Sung**, Bok-Gil Choi***,

More information

Supporting Information

Supporting Information Supporting Information Resistive Switching Memory Effects of NiO Nanowire/Metal Junctions Keisuke Oka 1, Takeshi Yanagida 1,2 *, Kazuki Nagashima 1, Tomoji Kawai 1,3 *, Jin-Soo Kim 3 and Bae Ho Park 3

More information

Lateral Nanoconcentrator Nanowire Multijunction Photovoltaic Cells

Lateral Nanoconcentrator Nanowire Multijunction Photovoltaic Cells Lateral Nanoconcentrator Nanowire Multijunction Photovoltaic Cells Investigators Professor H.-S. Philip Wong (Department of Electrical Engineering) Professor Peter Peumans (Department of Electrical Engineering)

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor

Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor Department of Applied Physics Korea University Personnel Profile (Affiliation

More information

Chalcogenide Memory, Logic and Processing Devices. Prof C David Wright Department of Engineering University of Exeter

Chalcogenide Memory, Logic and Processing Devices. Prof C David Wright Department of Engineering University of Exeter Chalcogenide Memory, Logic and Processing Devices Prof C David Wright Department of Engineering University of Exeter (david.wright@exeter.ac.uk) Acknowledgements University of Exeter Yat-Yin Au, Jorge

More information

Analog Synaptic Behavior of a Silicon Nitride Memristor

Analog Synaptic Behavior of a Silicon Nitride Memristor Supporting Information Analog Synaptic Behavior of a Silicon Nitride Memristor Sungjun Kim, *, Hyungjin Kim, Sungmin Hwang, Min-Hwi Kim, Yao-Feng Chang,, and Byung-Gook Park *, Inter-university Semiconductor

More information

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor DRAM & Flexible RRAM This Week s Subject p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor CMOS Logic Inverter NAND gate NOR gate CMOS Integration & Layout GaAs MESFET (JFET) 1 Flexible

More information

A Differential 2R Crosspoint RRAM Array with Zero Standby Current

A Differential 2R Crosspoint RRAM Array with Zero Standby Current 1 A Differential 2R Crosspoint RRAM Array with Zero Standby Current Pi-Feng Chiu, Student Member, IEEE, and Borivoje Nikolić, Senior Member, IEEE Department of Electrical Engineering and Computer Sciences,

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

LSI ON GLASS SUBSTRATES

LSI ON GLASS SUBSTRATES LSI ON GLASS SUBSTRATES OUTLINE Introduction: Why System on Glass? MOSFET Technology Low-Temperature Poly-Si TFT Technology System-on-Glass Technology Issues Conclusion System on Glass CPU SRAM DRAM EEPROM

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors Veerendra Dhyani 1, and Samaresh Das 1* 1 Centre for Applied Research in Electronics, Indian Institute of Technology Delhi, New Delhi-110016,

More information

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2 Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS 2 /hon a 300- BN/graphene heterostructures. a, CVD-grown b, Graphene was patterned into graphene strips by oxygen monolayer

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

In pursuit of high-density storage class memory

In pursuit of high-density storage class memory Edition October 2017 Semiconductor technology & processing In pursuit of high-density storage class memory A novel thermally stable GeSe-based selector paves the way to storage class memory applications.

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION A fast, high endurance and scalable non-volatile memory device made from asymmetric Ta 2 O 5-x /TaO 2-x bilayer structures Myoung-Jae Lee 1, Chang Bum Lee 1, Dongsoo Lee 1, Seung Ryul Lee 1, Man Chang

More information

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

Lecture Notes 5 CMOS Image Sensor Device and Fabrication Lecture Notes 5 CMOS Image Sensor Device and Fabrication CMOS image sensor fabrication technologies Pixel design and layout Imaging performance enhancement techniques Technology scaling, industry trends

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2015 SUPPLEMENTARY INFORMATION Diameter-dependent thermoelectric figure of merit in single-crystalline

More information

Towards a Reconfigurable Nanocomputer Platform

Towards a Reconfigurable Nanocomputer Platform Towards a Reconfigurable Nanocomputer Platform Paul Beckett School of Electrical and Computer Engineering RMIT University Melbourne, Australia 1 The Nanoscale Cambrian Explosion Disparity: Widerangeof

More information

Nanofluidic Diodes based on Nanotube Heterojunctions

Nanofluidic Diodes based on Nanotube Heterojunctions Supporting Information Nanofluidic Diodes based on Nanotube Heterojunctions Ruoxue Yan, Wenjie Liang, Rong Fan, Peidong Yang 1 Department of Chemistry, University of California, Berkeley, CA 94720, USA

More information

3D SOI elements for System-on-Chip applications

3D SOI elements for System-on-Chip applications Advanced Materials Research Online: 2011-07-04 ISSN: 1662-8985, Vol. 276, pp 137-144 doi:10.4028/www.scientific.net/amr.276.137 2011 Trans Tech Publications, Switzerland 3D SOI elements for System-on-Chip

More information

Vertical Nanowall Array Covered Silicon Solar Cells

Vertical Nanowall Array Covered Silicon Solar Cells International Conference on Solid-State and Integrated Circuit (ICSIC ) IPCSIT vol. () () IACSIT Press, Singapore Vertical Nanowall Array Covered Silicon Solar Cells J. Wang, N. Singh, G. Q. Lo, and D.

More information

Resistive Switching Memory in Integration

Resistive Switching Memory in Integration EDS Mini Colloquim WIMNACT 39, Tokyo Resistive Switching Memory in Integration Ming Liu Institute of Microelectronics, CAS Feb.7, 2014 Outline Motivation RRAM Integration Self-Rectifying RRAM 1D1R Integration

More information

Atomristor: Non-Volatile Resistance Switching in Atomic Sheets of

Atomristor: Non-Volatile Resistance Switching in Atomic Sheets of Atomristor: Non-Volatile Resistance Switching in Atomic Sheets of Transition Metal Dichalcogenides Ruijing Ge 1, Xiaohan Wu 1, Myungsoo Kim 1, Jianping Shi 2, Sushant Sonde 3,4, Li Tao 5,1, Yanfeng Zhang

More information

PCRAMsim: System-Level Performance, Energy, and Area Modeling for Phase-Change RAM

PCRAMsim: System-Level Performance, Energy, and Area Modeling for Phase-Change RAM sim: System-Level Performance, Energy, and Area Modeling for Phase-Change RAM Xiangyu Dong Computer Science & Engineering Department Pennsylvania State University xydong@cse.psu.edu Norman P. Jouppi Exascale

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

Tunneling Field Effect Transistors for Low Power ULSI

Tunneling Field Effect Transistors for Low Power ULSI Tunneling Field Effect Transistors for Low Power ULSI Byung-Gook Park Inter-university Semiconductor Research Center and School of Electrical and Computer Engineering Seoul National University Outline

More information

Opportunities and Challenges for Nanoelectronic Devices and Processes

Opportunities and Challenges for Nanoelectronic Devices and Processes The Sixth U.S.-Korea Forum on Nanotechnology, April 28-29, 2009, Las Vegas, NV Opportunities and Challenges for Nanoelectronic Devices and Processes Yoshio Nishi Professor, Electrical Engineering, Material

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B.

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B. Silicon VLSI Technology Fundamentals, ractice, and Modeling Class otes For Instructors J. D. lummer, M. D. Deal and. B. Griffin These notes are intended to be used for lectures based on the above text.

More information

3D Vertical Dual-Layer Oxide Memristive Devices for Neuromorphic Computing

3D Vertical Dual-Layer Oxide Memristive Devices for Neuromorphic Computing 3D Vertical Dual-Layer Oxide Memristive Devices for Neuromorphic Computing Siddharth Gaba, Patrick Sheridan, Chao Du, and Wei Lu* Electrical Engineering and Computer Science, University of Michigan, Ann

More information

Phase-Change Via-Based Reconfiguration of RF, Analog and Mixed-Signal Circuits

Phase-Change Via-Based Reconfiguration of RF, Analog and Mixed-Signal Circuits SRC E-Transfer Workshop April 10 th, 2012 Phase-Change Via-Based Reconfiguration of RF, Analog and Mixed-Signal Circuits Jeyanandh Paramesh Electrical & Computer Engineering paramesh@ece.cmu.edu SRC Task

More information

IMAGING SILICON NANOWIRES

IMAGING SILICON NANOWIRES Project report IMAGING SILICON NANOWIRES PHY564 Submitted by: 1 Abstract: Silicon nanowires can be easily integrated with conventional electronics. Silicon nanowires can be prepared with single-crystal

More information

PCM progress report no. 7: A look at Samsung's 8-Gb array

PCM progress report no. 7: A look at Samsung's 8-Gb array PCM progress report no. 7: A look at Samsung's 8-Gb array Here's a discussion on the features of Samsung s 8-Gb array. By Ron Neale After Samsung s presentation [1] of their 8-Gb PRAM at ISSCC2012 and

More information

- Near Field Scanning Optical Microscopy - Electrostatic Force Microscopy - Magnetic Force Microscopy

- Near Field Scanning Optical Microscopy - Electrostatic Force Microscopy - Magnetic Force Microscopy - Near Field Scanning Optical Microscopy - Electrostatic Force Microscopy - Magnetic Force Microscopy Yongho Seo Near-field Photonics Group Leader Wonho Jhe Director School of Physics and Center for Near-field

More information

Introduction to Electronic Devices

Introduction to Electronic Devices (Course Number 300331) Fall 2006 Instructor: Dr. Dietmar Knipp Assistant Professor of Electrical Engineering Information: http://www.faculty.iubremen.de/dknipp/ Source: Apple Ref.: Apple Ref.: IBM Critical

More information

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors Contemporary Engineering Sciences, Vol. 6, 2013, no. 6, 273-284 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3632 Study of Pattern Area of Logic Circuit with Tunneling Field-Effect

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Memory Reliability and Yield Control Logic Reliability and Yield Noise Sources in T DRam BL substrate Adjacent BL C WBL α-particles WL leakage C S electrode C cross Transposed-Bitline Architecture

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

Crossbar architecture for Non-Volatile Memories. Andrea Redaelli

Crossbar architecture for Non-Volatile Memories. Andrea Redaelli Crossbar architecture for Non-Volatile Memories Andrea Redaelli Outline Why 3D approaches (literature review)? The crossbar concept The self-selected resistive cross bar array The diode selected array:

More information

The challenges of configurable hybrid Memristor-CMOS Integrated circuits fabrication

The challenges of configurable hybrid Memristor-CMOS Integrated circuits fabrication The challenges of configurable hybrid Memristor-CMOS Integrated circuits fabrication 30 nm Hewlett-Packard Laboratories, Palo Alto CA Gilberto Medeiros Ribeiro gilbertor@hp.com 2010 Hewlett-Packard Development

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor

NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor Jie Xiang Electrical and Computer Engineering and Materials Science Engineering University of California, San Diego

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Investigation of Feasibility of Tunneling Field Effect Transistor (TFET) as Highly Sensitive and Multi-sensing Biosensors

Investigation of Feasibility of Tunneling Field Effect Transistor (TFET) as Highly Sensitive and Multi-sensing Biosensors JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.1, FEBRUARY, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.1.141 ISSN(Online) 2233-4866 Investigation of Feasibility of Tunneling

More information

Widely Tunable Adaptive Resolution-controlled Read-sensing Reference Current Generation for Reliable PRAM Data Read at Scaled Technologies

Widely Tunable Adaptive Resolution-controlled Read-sensing Reference Current Generation for Reliable PRAM Data Read at Scaled Technologies JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.363 ISSN(Online) 2233-4866 Widely Tunable Adaptive Resolution-controlled

More information

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Enhanced Thermoelectric Performance of Rough Silicon Nanowires Allon I. Hochbaum 1 *, Renkun Chen 2 *, Raul Diaz Delgado 1, Wenjie Liang 1, Erik C. Garnett 1, Mark Najarian 3, Arun Majumdar 2,3,4, Peidong

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

CMOS Analog Integrate-and-fire Neuron Circuit for Driving Memristor based on RRAM

CMOS Analog Integrate-and-fire Neuron Circuit for Driving Memristor based on RRAM JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.2.174 ISSN(Online) 2233-4866 CMOS Analog Integrate-and-fire Neuron

More information

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; Chapter 3 Field-Effect Transistors (FETs) 3.1 Introduction Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; The concept has been known

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Transfer printing stacked nanomembrane lasers on silicon Hongjun Yang 1,3, Deyin Zhao 1, Santhad Chuwongin 1, Jung-Hun Seo 2, Weiquan Yang 1, Yichen Shuai 1, Jesper Berggren 4, Mattias Hammar 4, Zhenqiang

More information

RRAM based analog synapse device for neuromorphic system

RRAM based analog synapse device for neuromorphic system RRAM based analog synapse device for neuromorphic system Kibong Moon, Euijun Cha, and Hyunsang Hwang Pohang University of Science and Technology (POSTECH), Korea The 13 th Korea-U.S. Forum on Nanotechnology,

More information

Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture

Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture Cong Xu, Dimin Niu, Shimeng Yu, Yuan Xie, Pennsylvania State University, {czx102,dun118,yuanxie}@cse.psu.edu

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

T-series and U-series IGBT Modules (600 V)

T-series and U-series IGBT Modules (600 V) T-series and U-series IGBT Modules (6 V) Seiji Momota Syuuji Miyashita Hiroki Wakimoto 1. Introduction The IGBT (insulated gate bipolar transistor) module is the most popular power device in power electronics

More information

Supporting Information. Silicon Nanowire - Silver Indium Selenide Heterojunction Photodiodes

Supporting Information. Silicon Nanowire - Silver Indium Selenide Heterojunction Photodiodes Supporting Information Silicon Nanowire - Silver Indium Selenide Heterojunction Photodiodes Mustafa Kulakci 1,2, Tahir Colakoglu 1, Baris Ozdemir 3, Mehmet Parlak 1,2, Husnu Emrah Unalan 2,3,*, and Rasit

More information

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage 64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage Yufeng Xie a), Wenxiang Jian, Xiaoyong Xue, Gang Jin, and Yinyin Lin b) ASIC&System State Key Lab, Dept. of

More information

Fabrication and electrical characterization of MONOS memory with novel high-κ gate stack

Fabrication and electrical characterization of MONOS memory with novel high-κ gate stack Title Fabrication and electrical characterization of MONOS memory with novel high-κ gate stack Author(s) Liu, L; Xu, JP; Chan, CL; Lai, PT Citation The IEEE International Conference on Electron Devices

More information

Mayank Chakraverty and Harish M Kittur. VIT University, Vellore, India,

Mayank Chakraverty and Harish M Kittur. VIT University, Vellore, India, International Journal of Micro and Nano Systems, 2(1), 2011, pp. 1-6 FIRST PRINCIPLE SIMULATIONS OF FE/MGO/FE MAGNETIC TUNNEL JUNCTIONS FOR APPLICATIONS IN MAGNETORESISTIVE RANDOM ACCESS MEMORY BASED CELL

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Nano-structured superconducting single-photon detector

Nano-structured superconducting single-photon detector Nano-structured superconducting single-photon detector G. Gol'tsman *a, A. Korneev a,v. Izbenko a, K. Smirnov a, P. Kouminov a, B. Voronov a, A. Verevkin b, J. Zhang b, A. Pearlman b, W. Slysz b, and R.

More information

CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure. John Zacharkow

CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure. John Zacharkow CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure John Zacharkow Overview Introduction Background CMOS Review CMOL Breakdown Benefits/Shortcoming Looking into the Future Introduction

More information

Performance of Low Power SRAM Cells On SNM and Power Dissipation

Performance of Low Power SRAM Cells On SNM and Power Dissipation Performance of Low Power SRAM Cells On SNM and Power Dissipation Kanika Kaur 1, Anurag Arora 2 KIIT College of Engineering, Gurgaon, Haryana, INDIA Abstract: Over the years, power requirement reduction

More information

A Comparative Simulation Study of Four Multilevel DRAMs

A Comparative Simulation Study of Four Multilevel DRAMs A Comparative Simulation Study of Four Multilevel DRAMs Gershom Birk, Duncan Elliott, Bruce Cockburn Department of Electrical & Computer Engineering University of Alberta Edmonton, Alberta, Canada Outline

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

Supporting Information

Supporting Information Supporting Information Fabrication of High-Performance Ultrathin In 2 O 3 Film Field-Effect Transistors and Biosensors Using Chemical Lift-Off Lithography Jaemyung Kim,,,# You Seung Rim,,,# Huajun Chen,,

More information

Power FINFET, a Novel Superjunction Power MOSFET

Power FINFET, a Novel Superjunction Power MOSFET Power FINFET, a Novel Superjunction Power MOSFET Wai Tung Ng Smart Power Integration & Semiconductor Devices Research Group Department of Electrical and Computer Engineering Toronto, Ontario Canada, M5S

More information

Supplementary Information. Bipolar switching in chalcogenide phase change memory

Supplementary Information. Bipolar switching in chalcogenide phase change memory Supplementary Information Bipolar switching in chalcogenide phase change memory N. Ciocchini 1, M. Laudato 1, M. Boniardi 2, E. Varesi 2, P. Fantini 2, A. L. Lacaita 1 & D. Ielmini 1 * 1 Dipartimento di

More information

Journal of Electron Devices, Vol. 20, 2014, pp

Journal of Electron Devices, Vol. 20, 2014, pp Journal of Electron Devices, Vol. 20, 2014, pp. 1786-1791 JED [ISSN: 1682-3427 ] ANALYSIS OF GIDL AND IMPACT IONIZATION WRITING METHODS IN 100nm SOI Z-DRAM Bhuwan Chandra Joshi, S. Intekhab Amin and R.

More information

Synthesis of Silicon. applications. Nanowires Team. Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr)

Synthesis of Silicon. applications. Nanowires Team. Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr) Synthesis of Silicon nanowires for sensor applications Anne-Claire Salaün Nanowires Team Laurent Pichon (Pr), Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr) Ph-D positions: Fouad Demami, Liang Ni,

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Electrical transport properties in self-assembled erbium. disilicide nanowires

Electrical transport properties in self-assembled erbium. disilicide nanowires Solid State Phenomena Online: 2007-03-15 ISSN: 1662-9779, Vols. 121-123, pp 413-416 doi:10.4028/www.scientific.net/ssp.121-123.413 2007 Trans Tech Publications, Switzerland Electrical transport properties

More information

Supporting Information. Vertical Graphene-Base Hot-Electron Transistor

Supporting Information. Vertical Graphene-Base Hot-Electron Transistor Supporting Information Vertical Graphene-Base Hot-Electron Transistor Caifu Zeng, Emil B. Song, Minsheng Wang, Sejoon Lee, Carlos M. Torres Jr., Jianshi Tang, Bruce H. Weiller, and Kang L. Wang Department

More information

Supplementary Information

Supplementary Information Supplementary Information For Nearly Lattice Matched All Wurtzite CdSe/ZnTe Type II Core-Shell Nanowires with Epitaxial Interfaces for Photovoltaics Kai Wang, Satish C. Rai,Jason Marmon, Jiajun Chen, Kun

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Impact of Interconnect Length on. Degradation

Impact of Interconnect Length on. Degradation Impact of Interconnect Length on BTI and HCI Induced Frequency Degradation Xiaofei Wang, Pulkit Jain, Dong Jiao and Chris H. Kim University of Minnesota, Minneapolis, MN xfwang@umn.edu www.umn.edu/~chriskim/

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

Contents. Nano-2. Nano-2. Nanoscience II: Nanowires. 2. Growth of nanowires. 1. Nanowire concepts Nano-2. Nano-2

Contents. Nano-2. Nano-2. Nanoscience II: Nanowires. 2. Growth of nanowires. 1. Nanowire concepts Nano-2. Nano-2 Contents Nanoscience II: Nanowires Kai Nordlund 17.11.2010 Faculty of Science Department of Physics Division of Materials Physics 1. Introduction: nanowire concepts 2. Growth of nanowires 1. Spontaneous

More information

Memory characteristics of silicon nanowire transistors generated by weak impact ionization

Memory characteristics of silicon nanowire transistors generated by weak impact ionization Supplementary information for Memory characteristics of silicon nanowire transistors generated by weak impact ionization Doohyeok Lim, Minsuk Kim, Yoonjoong Kim, and Sangsig Kim* Department of Electrical

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

VLSI: An Introduction

VLSI: An Introduction Chapter 1 UEEA2223/UEEG4223 Integrated Circuit Design VLSI: An Introduction Prepared by Dr. Lim Soo King 02 Jan 2011. Chapter 1 VLSI Design: An Introduction... 1 1.0 Introduction... 1 1.0.1 Early Computing

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information