Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor

Size: px
Start display at page:

Download "Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor"

Transcription

1 Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor Department of Applied Physics Korea University

2 Personnel Profile (Affiliation and Employment) Affiliation Associate Professor in the Department of Applied Physics at Korea University Employment Education 03 Ph.D. Electronic Materials (Semiconductor Device, MOS dev. & Tr. Tech (w/ Best Paper Award & Young Researcher Award) Young Researcher Award at Int. Conf. on SSDM Nagoya Japan 2002) ~ 10 Yr experience at Samsung Adv. Inst. Tech., and Samsung Electronics in Semiconductor Area for Last a Decade with 6 research awards. 12~ Samsung Adv. Inst. Tech., SEC. Principal Research Staff Member Project leader of Haptic materials and devices (Tactile sensor) 09~11 Samsung Adv. Inst. Tech., SEC. Principal Research Staff Member Ox-based Device, Lead Device Physicist and Process Integrator Photo/Image Sensor, Interactive Display, Integrated Circuits, High Power Device Transparent device, 3D device, Electrical/Reliability/Modeling works. 05~09 Memory Business Division of SEC, Senior Research Staff Member Charge Trap Flash (8, 16, 32Gb). Process Integrator and Device Engineer. 03~05 Samsung Adv. Inst. Tech., [SAIT] Senior Research Staff Member Initiative Study on Charge Trap Flash Memory Device.

3 Technology Samsung Two-time tech. transfer from SAIT to business divisions of SEC, memory division ( ) and display division (2011) 8Gb Flash Device 16Gb Flash Tech. Memory Division of SEC ( ) 32Gb Flash Tech. 1T DRAM Display Division of SEC (2011) SAIT ( ) Tech. Transfer SAIT ( ) Basic Research on CTF Meeting Specification of NAND for 40nm gen. Understanding on science of oxide Demonstration Various of Ox. Sensor Sensors for Array E-Body

4 VOUT (V) VOUT (V) VIN (V) I DS [A] I V GS -V TH =-1V [A] Power, BV I on [W] Contents For nc-ox. device, I present various applications. Image Sensor Memory Bilayer oxide transistor exhibits remarkable performance such as, high mobility (23~47cm 2 /Vs) and high breakdown voltage (BV) of 60~340V despite low process temperatures (<300 C), which can be integrated on metal pad HIZO(200Å )-IZO Bi-layer IZO: 100Å 10-5 IZO: 200Å IZO: 400Å 10-7 IZO: 600Å HIZO:400Å 10-9 Single Layer V DS :10V V GS [V] Power IZO Thickness Single Å 400Å HIZO:400Å 100Å 600Å HIZO-IZO Bi-layer Å -HIZO/IZO IZO: 100Å IZO: 200Å IZO: 400Å IZO: 600Å Single Bi-layer HIZO HIZO-IZO Bi-Layer V DS [V] Sample sat [cm 2 /Vs] Display H H High Data Latch Output TFT Response Speed L H L m 4.0m 6.0m Time (sec) IN OUT L S nq Low Data Latch Output Time (sec) Q R Monitoring signal 50nsec 40mv =>800uA Sample signal VLSI 2012

5 The Evolution of Devices CMOS Logic Device (Si III-V/ Graphene on Si) Si based Integration III-V Integration Graphene High-k metal gate Memory (Planar Vertical/Hybrid Integration) Planar Structure, IEDM 2006 VNAND, VLSI 2009 AOS TFT Key Trend: Alternative Materials and 3D Stack RRAM, Adv. Fuct. Mat Transition Ox. Based RRAM

6 Benefit of nc-ingazno, nc-hfinzno, and nc-inzno Optical transparency due to large band-gap of ~3.4eV Stackable process nature due to low temp. process capability Nano-crystalline structure in amorphous matrix (negligible DV th ) but High (>10) The integration of nc-oxide semiconductor onto Si circuits is possible. CCD Spectrum profile CCD Spectrum profile HIZO Drift corrected spectrum profile Scanning SiO 2 GIZO IZO Spectrum profile Scanning GIZO Mo Mo IZO CCD Spectrum profile CCD Spectrum profile GIZO GIZO 1 CCD Spectrum profile SiO 2 HIZO IZO CCD Spectrum profile CCD Spectrum profile CCD Spectrum profile CCD Spectrum profile GIZO IZO IZO GIZO CCD Spectrum profile

7 CMOS Image Sensor Applications

8 Pixel Size Pixel (nm) Size (um) Resolution (Mega Pixel) Resolution (Mega Pixel) Current Status of CIS Devices Like others, CIS devices are facing physical limitation Shrinking the pixel size is a major driver for imaging business Pixel performance is inversely proportional to the size of CIS At a pace which counteract both, new technique is needed Conventional Architecture New architecture Conventional Architecture New architecture FSIS BSIS BSIS+ α 3D Stack 4 (3D Stack) H H H H H H Time FSIS: 0 Front side image sensor BSIS: Back side image sensor H H H H H H Time FSIS: Front side image sensor BSIS: Back side image sensor 0 Color filter Metal 2 Metal 1 Photo diode Front side image sensor Light source Light path Blocked/deflected light Color filter Photo diode Metal 1 Metal 2 Back side image sensor

9 Column Bus Pixel Circuit of CMOS Image Sensor A pixel consists of 1 Photodiode (PD) and 4 Transistors. Pixel Tr.s (Reset, SF, RS) are shared with neighboring pixels Interestingly, all pixel transistors are NMOSFET Pixel Tr.s (Reset, SF, RS) with less stringent requirement can be replaced with oxide TFT TX: Transfer Gate Transistor Reset: Reset Transistor SF: Source Follower Transistor RS: Row Select Transistor PD: Photodiode FD: Floating Diode Reset TX11 TX12 TX21 TX22 PD FD RS SF V DD

10 Our Approach The integration of electronically active oxide device onto silicon circuit. Here we propose a novel hybrid CIS architecture utilizing nanometer scale nano-crystalline oxide TFT with a photodiode. S. Jeon et al., ACS Applied Mat. Int S. Jeon et al., IEEE IEDM 2010 Micro-lens Metal line Transparent conducting line nc-oxide TFT

11 Structural Comparison (1st layer) This demonstrates how Si PD in active can be enlarged. Novel Hybrid Transfer Gate Si Transistor Other Pixel Transistors Conventional Transfer Gate Transistor Si PD Si PD

12 Structural Comparison (2nd layer) The 2 nd layer of a novel hybrid four-pixel CIS structure consists of inter-connect metal lines and other pixel transistors. Some interconnect metal lines for delivering constant voltage, V DD, are replaced by a TCO Novel Hybrid Conventional Micro-lens Micro-lens Transparent Conducting Line Metal line TFT nc-igzo TFT

13 Simulation Results Electromagnetic power density contour plots were calculated by Sentaurus electromagnetic solver. The simulation results reveals a quantum efficiency increase of 143% 116%, and 120% at blue, green, and red wavelengths, respectively. Novel Hybrid Conventional Pixel Wavelength (nm) Quantum Efficiency (%) Ratio (%) Conventional Hybrid + TCO Interconnect Line Conventional Hybrid + TCO Interconnect Line Conventional Hybrid + TCO Interconnect Line

14 I DS (A) Structural Analysis & Electrical Analysis Self aligned top gate structure Dual gate stack (SiO 2 /Al 2 O 3 ) Trapezoidal active channel nc-oxide semiconductor b S. Jeon et al., Applied Physics Letters 2011 Z Y X X-X 180nm a-igzo Mo Al 2 O 3 SiO 2 IGZO Mo IGZO 5 nm Y-Y Vd=0.1V Vd=1.0V Vd=2.0V Vd=3.0V Vd=4.0V Vd=5.0V V GS (V)

15 Memory Applications

16 Essential Device Architecture for V-NAND Even with revolutionary transition, the core stack remains the same. Vertical NAND for 1 terabit and beyond Planar NAND Revolutionary Transition Core CTF Stack for Vertical NAND S. Jeon et al., US 7,391,075 High F M metal High k SiN SiO 2 Si

17 Three dimensional approach to high density memory The schematics of 3D approach Depletion load inverter by hybrid channel Oxide TFT for 3D logic a b Vertical NAND Flash Stackable RRAM Memory Layer 3D Logic Bottom Logic Bottom Si Layer:CMOS Integrated circuits: Ring oscillator & NOR decoder Conventional Architecture 3D Logic Architecture Oxide-based integrated circuits can be applied to integrated sensors. IEDM 2009, IEEE TED 2011, ACS Appl. Mat. Int. 2011

18 Power Applications

19 Conventional Power and This System Different device specifications of PMIC & gate driver hinders on-chip integration even with the merits, such as low cost, reduced form factor, and low noise. S. Jeon et al., VLSI 2012 Conventional Power System Conventional Power system PMIC Currently Proposed System Power system with gate driver PMIC PMIC Oxide Gate DRV using ox. Tr. Gate DRV Gate DRV Power TR. Power TR. Power TR.

20 VOUT (V) VOUT (V) VIN (V) I DS [A] I V GS -V TH =-1V [A] Power, BV I on [W] High Power Oxide Transistor Technology Bilayer oxide transistor exhibits remarkable performance such as, high mobility (23~47cm 2 /Vs) and high breakdown voltage (BV) of 60~340V despite low process temperatures (<300 C), which can be integrated on metal pad. HIZO(200Å )-IZO IZO: 100Å IZO: 200Å IZO: 400Å IZO: 600Å Bi-layer HIZO:400Å Single Layer V DS :10V V GS [V] Single HIZO:400Å HIZO-IZO Bi-layer 200Å -HIZO/IZO IZO: 100Å IZO: 200Å IZO: 400Å IZO: 600Å V DS [V] Single HIZO IZO Thickness 200Å 400Å 100Å 600Å Bi-layer HIZO-IZO Bi-Layer Sample sat [cm 2 /Vs] H H High Data Latch Output TFT Response Speed L H IN OUT S nq Q R Monitoring signal 50nsec L m 4.0m 6.0m Time (sec) Low Data Latch Output L Time (sec) mv =>800uA Sample signal VLSI 2012

21 Display Applications

22 In-cell touch technologies Displays with touch functionality are in great demand. In-cell touch display is an industrial goal (integration of sensor into LCD cell) Even with various approaches, there is no clear solution to realize large area interactive display. Previous photo-sensor technologies based on a-si are not applicable for large area touch screen due to low speed. Information Display 2010

23 Motivation of oxide photo-sensor Large Area Interactive Display Large size High resolution (FHD UD) Motion picture (>120Hz) Display size is limited by driving speed. 5 cm 2 /ev/s for UD-level High oxide TFT for display Process compatibility: oxide sensor Display Region R G B Sensor Region

24 I DS [A] Gated Three Terminal Sensor Architecture High photo-current for oxide sensor leads to simple pixel structure 2 TFT architecture: One sensor TFT & one switch TFT (Shield Metal) Transparent photo-sensor array due to simple structure a-si Photo TFT array Oxide Photo TFT array S. Jeon et al., Nature Materials 2012 S. Jeon et al., Adv. Mat S. Jeon et al., IEEE IEDM 2010 S. Jeon et al., IEEE IEDM 2011 Sensor Switch Source/Drain Shield Metal 10-7 Ox. TFT a-si TFT Pulse Cycle [#] Light Dark Switch Sensor GIZO IZO GIZO Gate Ox. Gate Isolation Ox. Fully Transparent Ox. Sensor Array Passivation

25 Demonstration of photo-sensor array and Interactive Display Photo-sensor in 2010 Interactive Display in 2011 Array : 192 x 256 lines (49,152 pixels) S. Jeon, Nature Materials S. Jeon IEDM 2010, Adv. Mat. 2012, SID 2012

26 Summary NC-oxide semiconductor devices present various device applications. We proposes a novel hybrid CMOS image sensor utilizing oxide TFT and demonstrating excellent device performance of 180nm L g TFT for future high density CIS devices. We present the three-dimensionally alternating integration of stackable logic devices with memory cells We present high performance bilayer oxide semiconductor such as HfInZnO/InZnO transistor for high power application We have integrated photo-tfts in a transparent active-matrix photosensor array that can be operated at high frame rates and that has potential applications in contact-free interactive displays

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor DRAM & Flexible RRAM This Week s Subject p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor CMOS Logic Inverter NAND gate NOR gate CMOS Integration & Layout GaAs MESFET (JFET) 1 Flexible

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Nonideal Effect The experimental characteristics of MOSFETs deviate to some degree from the ideal relations that have been theoretically derived. Semiconductor Physics and Devices Chapter 11. MOSFET: Additional

More information

Sony IMX Megapixel, 1.4 µm Pixel 1/3.2 Optical Format CMOS Image Sensor

Sony IMX Megapixel, 1.4 µm Pixel 1/3.2 Optical Format CMOS Image Sensor Sony IMX046 8.11 Megapixel, 1.4 µm Pixel 1/3.2 Optical Format CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs

More information

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

Lecture Notes 5 CMOS Image Sensor Device and Fabrication Lecture Notes 5 CMOS Image Sensor Device and Fabrication CMOS image sensor fabrication technologies Pixel design and layout Imaging performance enhancement techniques Technology scaling, industry trends

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Fundamentals of CMOS Image Sensors

Fundamentals of CMOS Image Sensors CHAPTER 2 Fundamentals of CMOS Image Sensors Mixed-Signal IC Design for Image Sensor 2-1 Outline Photoelectric Effect Photodetectors CMOS Image Sensor(CIS) Array Architecture CIS Peripherals Design Considerations

More information

Active Pixel Sensors Fabricated in a Standard 0.18 um CMOS Technology

Active Pixel Sensors Fabricated in a Standard 0.18 um CMOS Technology Active Pixel Sensors Fabricated in a Standard.18 um CMOS Technology Hui Tian, Xinqiao Liu, SukHwan Lim, Stuart Kleinfelder, and Abbas El Gamal Information Systems Laboratory, Stanford University Stanford,

More information

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical

More information

Performance of a-si:h Photodiode Technology-Based Advanced CMOS Active Pixel Sensor Imagers

Performance of a-si:h Photodiode Technology-Based Advanced CMOS Active Pixel Sensor Imagers Performance of a-si:h Photodiode Technology-Based Advanced CMOS Active Pixel Sensor Imagers Jeremy A. Theil *, Homayoon Haddad, Rick Snyder, Mike Zelman, David Hula, and Kirk Lindahl Imaging Electronics

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

Simulation of High Resistivity (CMOS) Pixels

Simulation of High Resistivity (CMOS) Pixels Simulation of High Resistivity (CMOS) Pixels Stefan Lauxtermann, Kadri Vural Sensor Creations Inc. AIDA-2020 CMOS Simulation Workshop May 13 th 2016 OUTLINE 1. Definition of High Resistivity Pixel Also

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

MagnaChip MC511DB 1.3 Megapixel CMOS Image Sensor 0.18 µm Process

MagnaChip MC511DB 1.3 Megapixel CMOS Image Sensor 0.18 µm Process MagnaChip MC511DB 1.3 Megapixel CMOS Image Sensor 0.18 µm Process Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Nikon 12.1 Mp CMOS Image Sensor from a D3s DSLR Camera with NC81361A Die Markings

Nikon 12.1 Mp CMOS Image Sensor from a D3s DSLR Camera with NC81361A Die Markings Nikon 12.1 Mp CMOS Image Sensor from a D3s DSLR Camera with NC81361A Die Markings Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs

More information

Power FINFET, a Novel Superjunction Power MOSFET

Power FINFET, a Novel Superjunction Power MOSFET Power FINFET, a Novel Superjunction Power MOSFET Wai Tung Ng Smart Power Integration & Semiconductor Devices Research Group Department of Electrical and Computer Engineering Toronto, Ontario Canada, M5S

More information

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Panasonic DMC-GH1 12.1 Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Imager Process Review For comments, questions, or more

More information

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS Dr. Eric R. Fossum Jet Propulsion Laboratory Dr. Philip H-S. Wong IBM Research 1995 IEEE Workshop on CCDs and Advanced Image Sensors April 21, 1995 CMOS APS

More information

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Panasonic DMC-GH1 12.1 Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Imager Process Review For comments, questions, or more

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Future MOSFET Devices using high-k (TiO 2 ) dielectric

Future MOSFET Devices using high-k (TiO 2 ) dielectric Future MOSFET Devices using high-k (TiO 2 ) dielectric Prerna Guru Jambheshwar University, G.J.U.S. & T., Hisar, Haryana, India, prernaa.29@gmail.com Abstract: In this paper, an 80nm NMOS with high-k (TiO

More information

Sony IMX145 8 Mp, 1.4 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor from the Apple iphone 4S Smartphone

Sony IMX145 8 Mp, 1.4 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor from the Apple iphone 4S Smartphone Sony IMX145 8 Mp, 1.4 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor from the Apple iphone 4S Smartphone Imager Process Review 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

Charged Coupled Device (CCD) S.Vidhya

Charged Coupled Device (CCD) S.Vidhya Charged Coupled Device (CCD) S.Vidhya 02.04.2016 Sensor Physical phenomenon Sensor Measurement Output A sensor is a device that measures a physical quantity and converts it into a signal which can be read

More information

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications Radhakrishnan Sithanandam and M. Jagadesh Kumar, Senior Member, IEEE Department of Electrical Engineering Indian Institute

More information

Introduction to Electronic Devices

Introduction to Electronic Devices (Course Number 300331) Fall 2006 Instructor: Dr. Dietmar Knipp Assistant Professor of Electrical Engineering Information: http://www.faculty.iubremen.de/dknipp/ Source: Apple Ref.: Apple Ref.: IBM Critical

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

High Performance Visible-Blind Ultraviolet Photodetector Based on

High Performance Visible-Blind Ultraviolet Photodetector Based on Supplementary Information High Performance Visible-Blind Ultraviolet Photodetector Based on IGZO TFT Coupled with p-n Heterojunction Jingjing Yu a,b, Kashif Javaid b,c, Lingyan Liang b,*, Weihua Wu a,b,

More information

CMOS Today & Tomorrow

CMOS Today & Tomorrow CMOS Today & Tomorrow Uwe Pulsfort TDALSA Product & Application Support Overview Image Sensor Technology Today Typical Architectures Pixel, ADCs & Data Path Image Quality Image Sensor Technology Tomorrow

More information

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Chapter 2 : Semiconductor Materials & Devices (II) Feb Chapter 2 : Semiconductor Materials & Devices (II) 1 Reference 1. SemiconductorManufacturing Technology: Michael Quirk and Julian Serda (2001) 3. Microelectronic Circuits (5/e): Sedra & Smith (2004) 4.

More information

Demonstration of a Frequency-Demodulation CMOS Image Sensor

Demonstration of a Frequency-Demodulation CMOS Image Sensor Demonstration of a Frequency-Demodulation CMOS Image Sensor Koji Yamamoto, Keiichiro Kagawa, Jun Ohta, Masahiro Nunoshita Graduate School of Materials Science, Nara Institute of Science and Technology

More information

Progress Energy Distinguished University Professor Jay Baliga. April 11, Acknowledgements

Progress Energy Distinguished University Professor Jay Baliga. April 11, Acknowledgements Progress Energy Distinguished University Professor Jay Baliga April 11, 2019 Acknowledgements 1 Outline SiC Power MOSFET Breakthroughs achieved at NCSU PRESiCE: SiC Power Device Manufacturing Technology

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Fabrication and Characterization of Emerging Nanoscale Memory

Fabrication and Characterization of Emerging Nanoscale Memory Fabrication and Characterization of Emerging Nanoscale Memory Yuan Zhang, SangBum Kim, Byoungil Lee, Marissa Caldwell(*), and (*) Chemistry Department Stanford University, Stanford, California, U.S.A.

More information

Organic RFID tags for MHz

Organic RFID tags for MHz Organic RFID tags for 13.56 MHz Kris Myny, Soeren Steudel, Dieter Bode, Sarah Schols, Paul Heremans N.A.J.M. van Aerle (Polymer Vision) Gerwin Gelinck (TNO) Results of the R&D technology program Organic

More information

Tunneling Field Effect Transistors for Low Power ULSI

Tunneling Field Effect Transistors for Low Power ULSI Tunneling Field Effect Transistors for Low Power ULSI Byung-Gook Park Inter-university Semiconductor Research Center and School of Electrical and Computer Engineering Seoul National University Outline

More information

In pursuit of high-density storage class memory

In pursuit of high-density storage class memory Edition October 2017 Semiconductor technology & processing In pursuit of high-density storage class memory A novel thermally stable GeSe-based selector paves the way to storage class memory applications.

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Application of CMOS sensors in radiation detection

Application of CMOS sensors in radiation detection Application of CMOS sensors in radiation detection S. Ashrafi Physics Faculty University of Tabriz 1 CMOS is a technology for making low power integrated circuits. CMOS Complementary Metal Oxide Semiconductor

More information

CMOS 0.18 m SPAD. TowerJazz February, 2018 Dr. Amos Fenigstein

CMOS 0.18 m SPAD. TowerJazz February, 2018 Dr. Amos Fenigstein CMOS 0.18 m SPAD TowerJazz February, 2018 Dr. Amos Fenigstein Outline CMOS SPAD motivation Two ended vs. Single Ended SPAD (bulk isolated) P+/N two ended SPAD and its optimization Application of P+/N two

More information

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen What is Silicon on Insulator (SOI)? SOI silicon on insulator, refers to placing a thin layer of silicon on top of an insulator such as SiO2. The devices

More information

Automotive Image Sensors

Automotive Image Sensors Automotive Image Sensors February 1st 2018 Boyd Fowler and Johannes Solhusvik 1 Outline Automotive Image Sensor Market and Applications Viewing Sensors HDR Flicker Mitigation Machine Vision Sensors In

More information

Fully depleted, thick, monolithic CMOS pixels with high quantum efficiency

Fully depleted, thick, monolithic CMOS pixels with high quantum efficiency Fully depleted, thick, monolithic CMOS pixels with high quantum efficiency Andrew Clarke a*, Konstantin Stefanov a, Nicholas Johnston a and Andrew Holland a a Centre for Electronic Imaging, The Open University,

More information

3D SOI elements for System-on-Chip applications

3D SOI elements for System-on-Chip applications Advanced Materials Research Online: 2011-07-04 ISSN: 1662-8985, Vol. 276, pp 137-144 doi:10.4028/www.scientific.net/amr.276.137 2011 Trans Tech Publications, Switzerland 3D SOI elements for System-on-Chip

More information

Sony IMX118CQT 18.5 Mp, 1.25 µm Pixel Pitch Back Illuminated CIS from the Sony DSC-WX100 Camera

Sony IMX118CQT 18.5 Mp, 1.25 µm Pixel Pitch Back Illuminated CIS from the Sony DSC-WX100 Camera 18.5 Mp, 1.25 µm Pixel Pitch Back Illuminated CIS from the Sony DSC-WX100 Camera Imager Process Review 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Imager

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

MTLE-6120: Advanced Electronic Properties of Materials. Semiconductor transistors for logic and memory. Reading: Kasap

MTLE-6120: Advanced Electronic Properties of Materials. Semiconductor transistors for logic and memory. Reading: Kasap MTLE-6120: Advanced Electronic Properties of Materials 1 Semiconductor transistors for logic and memory Reading: Kasap 6.6-6.8 Vacuum tube diodes 2 Thermionic emission from cathode Electrons collected

More information

Metal-Oxide-Silicon (MOS) devices PMOS. n-type

Metal-Oxide-Silicon (MOS) devices PMOS. n-type Metal-Oxide-Silicon (MOS devices Principle of MOS Field Effect Transistor transistor operation Metal (poly gate on oxide between source and drain Source and drain implants of opposite type to substrate.

More information

Organic Electronics. Information: Information: 0331a/ 0442/

Organic Electronics. Information: Information:  0331a/ 0442/ Organic Electronics (Course Number 300442 ) Spring 2006 Organic Field Effect Transistors Instructor: Dr. Dietmar Knipp Information: Information: http://www.faculty.iubremen.de/course/c30 http://www.faculty.iubremen.de/course/c30

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

EVALUATION OF RADIATION HARDNESS DESIGN TECHNIQUES TO IMPROVE RADIATION TOLERANCE FOR CMOS IMAGE SENSORS DEDICATED TO SPACE APPLICATIONS

EVALUATION OF RADIATION HARDNESS DESIGN TECHNIQUES TO IMPROVE RADIATION TOLERANCE FOR CMOS IMAGE SENSORS DEDICATED TO SPACE APPLICATIONS EVALUATION OF RADIATION HARDNESS DESIGN TECHNIQUES TO IMPROVE RADIATION TOLERANCE FOR CMOS IMAGE SENSORS DEDICATED TO SPACE APPLICATIONS P. MARTIN-GONTHIER, F. CORBIERE, N. HUGER, M. ESTRIBEAU, C. ENGEL,

More information

Architecture of Computers and Parallel Systems Part 9: Digital Circuits

Architecture of Computers and Parallel Systems Part 9: Digital Circuits Architecture of Computers and Parallel Systems Part 9: Digital Circuits Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems Part

More information

Improved Inverter: Current-Source Pull-Up. MOS Inverter with Current-Source Pull-Up. What else could be connected between the drain and V DD?

Improved Inverter: Current-Source Pull-Up. MOS Inverter with Current-Source Pull-Up. What else could be connected between the drain and V DD? Improved Inverter: Current-Source Pull-Up MOS Inverter with Current-Source Pull-Up What else could be connected between the drain and? Replace resistor with current source I SUP roc i D v IN v OUT Find

More information

Samsung S5K3BAFB 2 Megapixel CMOS Image Sensor 0.13 µm Copper CMOS Process Process Review Report

Samsung S5K3BAFB 2 Megapixel CMOS Image Sensor 0.13 µm Copper CMOS Process Process Review Report October 13, 2006 Samsung S5K3BAFB 2 Megapixel CMOS Image Sensor 0.13 µm Copper CMOS Process Process Review Report (with Optional TEM Analysis) For comments, questions, or more information about this report,

More information

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET Ch. 13 MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor : I D D-mode E-mode V g The gate oxide is made of dielectric SiO 2 with e = 3.9 Depletion-mode operation ( 공핍형 ): Using an input gate voltage

More information

A 1Mjot 1040fps 0.22e-rms Stacked BSI Quanta Image Sensor with Cluster-Parallel Readout

A 1Mjot 1040fps 0.22e-rms Stacked BSI Quanta Image Sensor with Cluster-Parallel Readout A 1Mjot 1040fps 0.22e-rms Stacked BSI Quanta Image Sensor with Cluster-Parallel Readout IISW 2017 Hiroshima, Japan Saleh Masoodian, Jiaju Ma, Dakota Starkey, Yuichiro Yamashita, Eric R. Fossum May 2017

More information

InGaAs MOSFETs for CMOS:

InGaAs MOSFETs for CMOS: InGaAs MOSFETs for CMOS: Recent Advances in Process Technology J. A. del Alamo, D. Antoniadis, A. Guo, D.-H. Kim 1, T.-W. Kim 2, J. Lin, W. Lu, A. Vardi and X. Zhao Microsystems Technology Laboratories,

More information

Nano-Crystalline &Amorphous Silicon PhotoTransistor Performance Analysis

Nano-Crystalline &Amorphous Silicon PhotoTransistor Performance Analysis Nano-Crystalline &Amorphous Silicon PhotoTransistor Performance Analysis by Yanfeng Zhang A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree of Master

More information

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER What I will show you today 200mm/8-inch GaN-on-Si e-mode/normally-off technology

More information

ELEN6350. Summary: High Dynamic Range Photodetector Hassan Eddrees, Matt Bajor

ELEN6350. Summary: High Dynamic Range Photodetector Hassan Eddrees, Matt Bajor ELEN6350 High Dynamic Range Photodetector Hassan Eddrees, Matt Bajor Summary: The use of image sensors presents several limitations for visible light spectrometers. Both CCD and CMOS one dimensional imagers

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects By Mieke Van Bavel, science editor, imec, Belgium; Joris Van Campenhout, imec, Belgium; Wim Bogaerts, imec s associated

More information

Ultra-high resolution 14,400 pixel trilinear color image sensor

Ultra-high resolution 14,400 pixel trilinear color image sensor Ultra-high resolution 14,400 pixel trilinear color image sensor Thomas Carducci, Antonio Ciccarelli, Brent Kecskemety Microelectronics Technology Division Eastman Kodak Company, Rochester, New York 14650-2008

More information

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography Scaling of Semiconductor Integrated Circuits and EUV Lithography ( 半導体集積回路の微細化と EUV リソグラフィー ) December 13, 2016 EIDEC (Emerging nano process Infrastructure Development Center, Inc.) Hidemi Ishiuchi 1 OUTLINE

More information

ABSTRACT. Section I Overview of the µdss

ABSTRACT. Section I Overview of the µdss An Autonomous Low Power High Resolution micro-digital Sun Sensor Ning Xie 1, Albert J.P. Theuwissen 1, 2 1. Delft University of Technology, Delft, the Netherlands; 2. Harvest Imaging, Bree, Belgium; ABSTRACT

More information

MOS Capacitance and Introduction to MOSFETs

MOS Capacitance and Introduction to MOSFETs ECE-305: Fall 2016 MOS Capacitance and Introduction to MOSFETs Professor Peter Bermel Electrical and Computer Engineering Purdue University, West Lafayette, IN USA pbermel@purdue.edu 11/4/2016 Pierret,

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme

A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme 78 Hyeopgoo eo : A NEW CAPACITIVE CIRCUIT USING MODIFIED CHARGE TRANSFER SCHEME A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme Hyeopgoo eo, Member, KIMICS Abstract This paper proposes

More information

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5 Eigen # Gate Gate Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET Lecture 5 Thin-Body MOSFET Carrier Transport quantum confinement effects low-field mobility: Orientation and Si Thickness

More information

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Chenming Hu and Je Min Park Univ. of California, Berkeley -1- Outline Introduction Background and Motivation MOSFETs with Vacuum-Spacer

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

Figure Responsivity (A/W) Figure E E-09.

Figure Responsivity (A/W) Figure E E-09. OSI Optoelectronics, is a leading manufacturer of fiber optic components for communication systems. The products offer range for Silicon, GaAs and InGaAs to full turnkey solutions. Photodiodes are semiconductor

More information

Introduction. Internet of things. Smart New World

Introduction. Internet of things. Smart New World 1 Introduction Internet of things Smart New World Source : iamwire IoT has evolved multiple technologies including sensors, embedded systems, communication, real-time analytics or machine learning. For

More information

FUJIFILM MS3897A CCD Image Sensor Imager Process Review

FUJIFILM MS3897A CCD Image Sensor Imager Process Review September 7, 2006 MS3897A CCD Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

Department of Electrical Engineering IIT Madras

Department of Electrical Engineering IIT Madras Department of Electrical Engineering IIT Madras Sample Questions on Semiconductor Devices EE3 applicants who are interested to pursue their research in microelectronics devices area (fabrication and/or

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Review of Power IC Technologies

Review of Power IC Technologies Review of Power IC Technologies Ettore Napoli Dept. Electronic and Telecommunication Engineering University of Napoli, Italy Introduction The integration of Power and control circuitry is desirable for

More information

Samsung S5K3L1YX Mp, 1/3.2 Inch Optical Format 1.12 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor

Samsung S5K3L1YX Mp, 1/3.2 Inch Optical Format 1.12 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor Samsung S5K3L1YX03 12.1 Mp, 1/3.2 Inch Optical Format 1.12 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor Circuit Analysis of Pixel Array, Row Drivers, Column Readouts, Ramp Generator, DPLL, MIPI

More information

2 nd Generation CMOS Charge Transfer TDI: Results on Proton Irradiation

2 nd Generation CMOS Charge Transfer TDI: Results on Proton Irradiation 2 nd Generation CMOS Charge Transfer TDI: Results on Proton Irradiation F. Mayer, J. Endicott, F. Devriere e2v, Avenue de Rochepleine, BP123, 38521 Saint Egrève Cedex, France J. Rushton, K. Stefanov, A.

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

Lecture Wrap up. December 13, 2005

Lecture Wrap up. December 13, 2005 6.012 Microelectronic Devices and Circuits Fall 2005 Lecture 26 1 Lecture 26 6.012 Wrap up December 13, 2005 Contents: 1. 6.012 wrap up Announcements: Final exam TA review session: December 16, 7:30 9:30

More information

CMOS Imagers : Today and Tomorrow

CMOS Imagers : Today and Tomorrow CMOS Imagers : Today and Tomorrow IISW2015 Highlights Albert Theuwissen Harvest Imaging (B) Image Capturing Circle the Netherlands Belgium Harvest Imaging Germany France copyright 2010 Albert Theuwissen

More information

Microelectronics Circuit Analysis and Design

Microelectronics Circuit Analysis and Design Microelectronics Circuit Analysis and Design Donald A. Neamen Chapter 3 The Field Effect Transistor Neamen Microelectronics, 4e Chapter 3-1 In this chapter, we will: Study and understand the operation

More information

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY S. M. SZE National Chiao Tung University Hsinchu, Taiwan And Stanford University Stanford, California ELECTRONIC AND SEMICONDUCTOR INDUSTRIES

More information

Device Technologies. Yau - 1

Device Technologies. Yau - 1 Device Technologies Yau - 1 Objectives After studying the material in this chapter, you will be able to: 1. Identify differences between analog and digital devices and passive and active components. Explain

More information

Samsung K9HAG08U1M-PCB0 16 Gbit MLC NAND Flash Structural Analysis Report

Samsung K9HAG08U1M-PCB0 16 Gbit MLC NAND Flash Structural Analysis Report March 6, 2006 Samsung K9HAG08U1M-PCB0 16 Gbit MLC NAND Flash Structural Analysis Report For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Layers. Layers. Layers. Transistor Manufacturing COMP375 1

Layers. Layers. Layers. Transistor Manufacturing COMP375 1 Layers VLSI COMP370 Intro to Computer Architecture t Applications Middleware other CS classes High level languages Machine Language Microcode Logic circuits Gates Transistors Silicon structures Layers

More information

Transistors, Gates and Busses 3/21/01 Lecture #

Transistors, Gates and Busses 3/21/01 Lecture # Transistors, Gates and Busses 3/2/ Lecture #8 6.7 The goal for today is to understand a bit about how a computer actually works: how it stores, adds, and communicates internally! How transistors make gates!

More information

Flexible IGZO TFTs deposited on PET substrates using magnetron radio frequency co-sputtering system

Flexible IGZO TFTs deposited on PET substrates using magnetron radio frequency co-sputtering system The 2012 World Congress on Advances in Civil, Environmental, and Materials Research (ACEM 12) Seoul, Korea, August 26-30, 2012 Flexible IGZO TFTs deposited on PET substrates using magnetron radio frequency

More information

79 Hists air sigtais is a sign 83 r A. 838 EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE

79 Hists air sigtais is a sign 83 r A. 838 EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE US 20060011813A1 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2006/0011813 A1 Park et al. (43) Pub. Date: Jan. 19, 2006 (54) IMAGE SENSOR HAVING A PASSIVATION (22) Filed: Jan.

More information

More Imaging Luc De Mey - CEO - CMOSIS SA

More Imaging Luc De Mey - CEO - CMOSIS SA More Imaging Luc De Mey - CEO - CMOSIS SA Annual Review / June 28, 2011 More Imaging CMOSIS: Vision & Mission CMOSIS s Business Concept On-Going R&D: More Imaging CMOSIS s Vision Image capture is a key

More information