Mayank Chakraverty and Harish M Kittur. VIT University, Vellore, India,

Size: px
Start display at page:

Download "Mayank Chakraverty and Harish M Kittur. VIT University, Vellore, India,"

Transcription

1 International Journal of Micro and Nano Systems, 2(1), 2011, pp. 1-6 FIRST PRINCIPLE SIMULATIONS OF FE/MGO/FE MAGNETIC TUNNEL JUNCTIONS FOR APPLICATIONS IN MAGNETORESISTIVE RANDOM ACCESS MEMORY BASED CELL PHONE ARCHITECTURES Mayank Chakraverty and Harish M Kittur VIT University, Vellore, India, nanomayank@yahoo.com, kittur@vit.ac.in Abstract: Fe/MgO/Fe magnetic tunnel junctions (MTJs) have been reported to have very high tunnel magnetoresistance (TMR) ratios. In this work, we present the results of First Principle simulations of Fe/ MgO/Fe MTJs with LSDA as the exchange correlation. The I-V characteristics in the antiparallel magnetization state exhibit strong features. The bias dependence of the TMR ratio shows nearly 100% TMR ratios for bias voltages up to 1.5 Volts. The MgO thickness dependence of the tunnel resistance shows the expected exponential increase in the tunnel resistance. The write energy per bit and power consumption have been computed for a bias voltage of 0.5 Volts. The Fe/MgO/Fe MTJs are the most widely used MTJs, integrated with NMOS transistors, in the form of MTJ based Magnetoresistive Random Access Memory (MRAM) which is an advanced memory technology operating at the nano scale. MRAMs are spintronic devices. Keywords: First Principle, LSDA, Fe/MgO/Fe, MTJ, TMR I. INTRODUCTION A. Magnetic Tunnel Junction (MTJ) An MTJ is basically comprised of two thin films of ferromagnetic (FM) materials like Fe, Ni or Co, with a very thin layer of insulator (MgO, Al 2 O 3, HfO 2 etc.) sandwiched in between. The insulating layer is so thin that electrons can tunnel through the barrier if a bias voltage is applied between the two metal electrodes. In MTJs, the tunneling current depends on the relative orientation of magnetizations of the two ferromagnetic layers, which can be changed by an applied magnetic field. The resistance offered to the flow of current through the ferromagnetic layers on account of the relative orientation of magnetizations of the two magnetic layers is called Tunnel Magnetoresistance (TMR). In TMR based MTJs, the magnetization of one of the ferromagnetic layer is fixed by using an anti ferromagnetic layer beneath the FM layer for which the magnetization is to be fixed. The magnetization of the other FM layer is free to flip depending upon the layer s switching threshold, hence called free layer [1]. In this paper, Fe/MgO/Fe MTJ has been selected for simulation on account of several factors like highly crystalline structure of Fe, remarkable adhesion of Fe and MgO thin films which is not common among other MTJs. Fe/MgO/Fe MTJs are also reported to have very high TMR ratios [2, 3]. B. Use of MTJs in MRAMs An array of FM/Insulator/FM tunnel junctions can be integrated with conventional Si based electronics technology to obtain the functionality of Random Access Memories (RAMs). Such RAMs based on TMR junctions are called Magnetoresistive RAMs (MRAMs) and have great potential applications because of the non volatility of the magnetizations of the two FM layers. Besides, the tunnel current does not change the relative magnetization of the two FM layers which means that the readout from such MRAMs is non destructive. Figure 1: Schematic of an MTJ in Parallel and Anti Parallel State Depicting the Logic States [4]

2 2 International Journal of Micro and Nano Systems The MTJs exhibit very good data retention property. If the magnetizations of the two FM layers are parallel, a low resistance path is said to exist through the MTJ thereby writing bit 0 and when the magnetizations of the two FM layers are anti parallel, a high resistance path is said to exist and correspondingly, bit 1 is said to have been written, as depicted in Figure 1. Though MTJs can store binary data, it has to be integrated with a sensing device to accomplish the reading of the stored binary data. This gives rise to an MTJ based Magnetoresistive Random Access Memory (MRAM) cell which consists of an NMOS field effect transistor with the TMR device on top of it. The orientation of magnetization of the FM layers takes place in different ways based upon the type of MRAM cell being investigated. However, the magnetization of the bottom FM layer in an MTJ is pinned in all types of MRAMs. Therefore, the magnetization of only the top FM layer needs to be flipped in either direction to accomplish the write operation. In MFW (Magnetic Field Write) MRAM cells, the magnetization of the free layer gets flipped as a result of the magnetic field generated when a current is passed through the bit line and the write word line aligned at right angles to each other, thereby storing data. Whereas, in case of Spin Injection MRAM cells, the current is made to pass through the MTJ directly causing spin polarized transport based upon the reversal of magnetization in the free layer. When compared to MFW MRAM cells, Spin Injection MRAM cells have been proved to consume least power during write operation, they are far more scalable than other RAM technologies, they have reduced memory cell area and simpler memory cell structure, there is no limitation on the number of write cycles and they offer extremely small read/write /erase times [6]. MRAMs have the potential for very wide applications in the field of communication devices, especially cell phones and other handhelds. This Figure 2: Schematic of an MTJ based MRAM Cell [5] paper exploits the potential of Fe/MgO/Fe MTJ in terms of size, power consumption, write energy etc. to be used in MRAMs for mobile devices like cell phones. A memory array consists of not only a single cell but an array of cells connected to bit lines and digit lines. The MRAM cell of Figure 2 can be implemented in the form of a memory array as shown in figure 3. Such an array is called a crosspoint array as the bit lines and digit lines cross each other at right angles and the point at which the lines cross forms a single MRAM cell with a TMR device at each cross point. Each TMR device has a sensing transistor connected to it to accomplish the read operation. To make a high-density memory, the MRAM cell shown in Figure 2 is arranged in a matrix with each write line spanning hundreds or thousands of bits, as shown in Figure 3. Figure 3: Cross Point Architecture with an MTJ at each Cross Point of Bit Line and Word Line [7] II. NEED FOR MTJ BASED MRAMS IN CELL PHONE ARCHITECTURES The memory technologies used for permanent data storage in cell phones today are the flash memories which are essentially EEPROM. It is also called floating gate memory [8]. Each cell of a flash memory consists of a N-MOSFET (Metal Oxide Semiconductor Field Effect Transistor). The Figure 4 shows the structure of NAND flash cell. The black lines represent current paths with or without wires. Data is written into such cells either by Fowler- Nordheim (FN) Tunneling or by Hot Carrier Injection where as erasure is done by FN Tunneling only. Though flash memories save data when power is off, saving data is slow and uses a lot of power. Although flash and MRAM are very similar in power requirements while reading, flash is rewritten using a large pulse of voltage (about 10V) that is stored up over time in a charge pump, which is both power-hungry and time consuming.

3 First Principle Simulations of Fe/MgO/Fe Magnetic Tunnel Junctions for Applications in Magnetoresistive... 3 Figure 5: Fe/MgO/Fe MTJ with Left and Right Electrodes Figure 4: Flash Memory Cell [9] Additionally, the current pulse physically degrades the flash cells, which means flash can only be written to some fixed number of times before it must be replaced. Therefore, the need for a memory technology is felt that can effectively overcome the short comings encountered in flash memory technology. MRAM stands as a powerful contender for replacing flash memories in cell phones. In addition to overcoming the limitations of flash memories, MRAMs offer something close to the speed of SRAM, with a density approaching that of single-transistor DRAM and the ability to store information when power is removed, like flash memory or EEPROM. Memories based on MRAM have been seen to be in a race to develop a universal memory that could replace SRAM, DRAM and flash in many applications. between the first and second surface layer is ú and the distance from the surface layer to the oxygen layer of the central region is 2.2 Å. In order to simulate the structure, a bias voltage starting from 0.2V to 2.4V through 0.6V, 1V, 1.5V, 2V and 2.2V have been applied to the right electrode. The calculator used for the simulation is ATK-DFT (Device) with electron temperature of 300K and exchange correlation as LSDA (Local Spin Density Approximation) because the current flow is essentially due to spin polarized transport through the MTJ. The simulations are performed separately for parallel and antiparallel magnetizations of the two FM layers and the I-V curves obtained in the two cases are shown in Figure 6. In Figure 6(a), the I-V plot does not exhibit any strong feature as such and the plot is more or less a linear one where the tunnel current is directly proportional to the bias voltage applied. As it is clear from the Figure 6(b), the tunnel current is extremely low (almost approaching 0 nano Amperes) in the bias voltage III. I-V CHARACTERISTICS OF FE/MGO/FE MTJ The Fe/MgO/Fe MTJ is the most widely used MTJ exhibiting a very high value of TMR, though Ni/ Al 2 O 3 /Ni MTJs are also quite promising with regards to the value of TMR they exhibit. In this paper, we have built and simulated a Fe/MgO/Fe MTJ through first principle band structure calculations. The configuration is a BCC crystal oriented along the (100) direction. For reducing the complexity of simulation and keeping the time constraint in mind, we have built a set up of 48 atoms that constitute the Fe/MgO/Fe MTJ, where there are 24 Fe atoms, 12 Mg atoms and 12 oxygen atoms. The device configuration along with the left and right electrodes is shown in Figure 3. In the MTJ shown above, the electrodes have a lattice constant of Å, the insulating barrier has a layer separation of Å with a total of 6 atomic layers, the number of layers in the (100) direction in each electrode is 2 with a total of 4 layers making up the two electrodes, lattice distance Figure 6: I-V Curves for (a) Parallel and (b) Antiparallel Magnetizations of Fe/MgO/Fe MTJ

4 4 International Journal of Micro and Nano Systems range of -1 Volts to 1 Volts. This indicates an extremely high tunnel resistance in the specified bias voltage range. It can be seen in Figure 6(b) that in the bias voltage range of 1 Volts Volts, the tunnel current makes a negative excursion. This needs to be explained or clarified. The Figure 7 shows the plot of di/dv-v (tunnel conductance bias voltage) for the simulated Fe/MgO/Fe MTJ in the parallel and anti parallel magnetization states respectively. In Figure 7 (a), the value of tunnel conductance is high in the bias voltage range of -1 Volts to 1 Volts. This behavior can be explained on the basis of availability of empty electronic states in the right electrode of the MTJ that causes the tunneling of electrons from the left to the right electrode thereby leading to a high tunnel conductance in the voltage range of -1 Volts to 1 Volts. In the Figure 7(b), the value of tunnel conductance revolves around 0 ns in the bias voltage range of -1 Volts to 1 Volts. This can either be due to the unavailability of electronic states in the right electrode or even due to the availability of only filled electronic states in the right electrode of the structure. As a result, the tunneling rate of electrons across the insulating barrier goes down and a very high tunnel resistance is exhibited in the specified voltage range. A very interesting feature observed in the tunnel current-bias voltage plot as well as in the tunnel conductance-bias voltage plot obtained in the anti parallel magnetization state is the occurrence of negative resistance regions. The negative resistance is not seen in the plots obtained for parallel magnetization state. This property of exhibiting negative resistance by Fe/MgO/Fe MTJs, especially in the anti parallel magnetization state, can prove it to be a powerful contender for microwave generation and related applications. From the above simulations, the power consumption and the work done/ energy dissipated in storing a bit or reading a stored bit can be estimated. For a bias voltage of 0.5 Volts, the tunnel current through the MTJ is nano Amps in the parallel magnetization state while it is nano Amps in the anti parallel magnetization state. Correspondingly, the power consumption in the parallel state is Watts and the write energy per bit is femto Joules, which is far lesser than the write energy witnessed in flash memories [10], [11]. In the anti parallel magnetization state, the power consumption per cell has been estimated to be pico Watts and the write energy per bit is Joules. These values clearly exhibit the potential advantage of MTJ based MRAMs in terms of power consumption in cell phones as against to flash memories. IV. TMR STUDIES OF FE/MGO/FE MTJ The I-V characteristics of Figure 6 gives the values of tunneling current in nano Amperes for each of the input voltages applied. Using these data, the resistance at each point can be computed and hence the TMR can be computed for each input voltage applied using equation 1.Tunnel magnetoresistance (TMR) is the change in the tunnel resistance with the change in the relative magnetizations of two ferromagnetic (FM) films separated by a thin insulating barrier layer. The tunnel conductance is a maximum when the two ferromagnetic layers are magnetized parallel to each other and a minimum when the magnetizations of the two layers are antiparallel to each other. In order to quantify the percentage change in the junction resistance, one defines a tunnel magnetoresistance ratio TMR in terms of the junction resistances in the parallel and the anti-parallel magnetized states R P and R AP respectively, where Figure 7: di/dv-v Curves for (a) Parallel and (b) Anti Parallel Magnetization States R RAP RP TMR = 100% = 100% (1) R R AP AP

5 First Principle Simulations of Fe/MgO/Fe Magnetic Tunnel Junctions for Applications in Magnetoresistive... 5 Using (1), the TMR is computed for each of the input voltages applied and they have been plotted against the bias voltages as shown in Figure 8. It can be observed from the plot that considerably high TMR values have been reported with the highest value going up to % for bias voltage of 0.2 Volts. The tunnel magnetoresistance ratio can also be computed alternatively using the equation (2) R RAP RP TMR = 100% = 100% (2) R R P in which the difference between the anti parallel and parallel resistances for different bias voltages is divided by the parallel resistance unlike equation (1). Using this equation, the TMR ratios for different bias voltages have been computed and they have been plotted against the bias voltages as shown in Figure 9. AP As it is clear from the figure 9, the TMR ratios are extremely high when computed using equation (2). V. BEHAVIOR OF MAGNETORESISTANCE VALUES FOR DIFFERENT INSULATOR THICKNESSES In this paper, we have built a Fe/MgO/Fe MTJ and for a fixed bias voltage of 0.5 Volts, we have obtained the resistance values in both parallel and anti parallel states respectively, by varying the thickness of the insulating layer of MgO from 4 atomic layers to 10 atomic layers, and we have plotted the resistance in the two states against the insulator thicknesses to obtain curves as shown in Figure 10. The simulations have been carried out at electron temperature of 300K with LSDA as the exchange correlation in order to enable spin (a) (a) Figure 8: TMR v/s Bias Voltage for Fe/MgO/Fe MTJ using (1) (b) (b) Figure 9: TMR v/s Bias Voltage for Fe/MgO/Fe MTJ using (2) Figure 10: Plot of (a) Parallel & (b) Anti Parallel Resistance v/s Insulator Thickness in Fe/MgO/Fe MTJ

6 6 International Journal of Micro and Nano Systems polarized transport through the MTJ. As expected, the resistances in the parallel and anti parallel states increase exponentially as the thickness of the insulating barrier is gradually increased. That is, the rate of tunneling of electrons through the barrier from one electrode of the MTJ to the other comes down as the thickness of the insulator increases. VI. CONCLUSION This work confirms the large, nearly 100% TMR ratios in Fe/MgO/Fe MTJs predicted and reported by others before. The bias dependence of the I-V curves in the antiparallel state shows strong features. To our knowledge, such a strong bias dependence of the tunnel current in the anti parallel magnetization state has not been reported earlier. The write energy per bit has been found to be very less than that for both NOR and NAND flash memories. A plot of the TMR% versus the bias voltage reveals nearly 100% TMR ratios for bias voltages up to 1.5 Volts. Our simulations show that for a bias voltage of 2 Volts the TMR ratio becomes negative, meaning the R AP is less than R P. This anomalous TMR has not been reported earlier and needs to be further investigated. The oxide barrier thickness dependence of the tunnel current, both in the parallel and anti parallel magnetized state, shows the exponential increase in the tunnel resistance. We conclude, previous reports and our studies confirm the high TMR ratios of Fe/MgO/ Fe MTJs which can ideally be integrated with NMOS transistors to form MTJ based MRAM cells and these MTJ based MRAM cells in the form of memory array architecture can be used to replace flash memories that are being used in cell phones. VII. FUTURE WORK The future work involves designing a block diagram of MRAM based cell phone architecture, designing and simulating a circuit for MTJ based MRAM cell followed by an MRAM based memory array architecture and simulating the R/W circuitry of the array architecture to obtain the performance metrics and their comparison with those of flash memories thereby validating the replacement of flash memories in cell phone architectures with MTJ based MRAM cells. REFERENCES [1] Mark Johnson, Magnetoelectronics, 2001, Academic Press. [2] S. S. P. Parkin, C. Kaiser, A. Panchula, P. M. Rice, B. Hughes, M. Samant and S. H. Yang, Nat. Mater. 3, 862, (2004). [3] H. Ohmori, T. Hatori and S. Nakagawa, J. Appl. Phys. 103, 07A911, (2008). [4] Roberto Mantovan, Spin Polarized Advanced Materials for MagneticMemories, [5] Natali T. Del Conte, News from PC Magazine: Magnetic Memory Chips Hit the Market, [6] Motoyuki Oishi, Spin Injection MRAM Main Focus at MMM, Nikkei Electronics Asia March 2008 Analysis. [7] I.L. Prejbeanu, M. Kerekes, R.C. Sousa, H. Sibuet, O. Redon, B. Dieny, J.P. Nozieres, Thermally assisted MRAM, Submitted to: J. Phys. Condens. Matter, 2001, pp [8] R. Dean Adams, High Performance Memory Testing : Design Principles, Fault Modeling and Self- Test, 2003, Kluwer Academic Publishers, Boston/ Dordrecht / London. [9] Robert Hallock, The hows and whys of Solid State Disks (SSDs), September 2008, tech.icrontic.com/articles/how_ssds_work/ [10] Emerging Research Devices (ERD), International Technology Roadmap for Semiconductors 2009, Ed. [Online]. Available: [11] R. F. Freitas and W. W. Wilcke, Storage-class memory: The next storage system technology, IBM J. Res. Develop., Vol. 52, No. 4 5, pp , [12] Roberto Bez, Agostino Pirovano Non-volatile memory technologies: emerging concepts and new materials Materials Science in Semiconductor Processing 7, (2004), [13] S. Tehrani, B. Engel, J. M. Slaughter, E. Chen, M. DeHerrera, M. Durlam, P. Naji, R. Whig, J. Janesky, and J. Calder, Recent Developments in Magnetic Tunnel Junction MRAM, IEEE Transactions on Magnetics, Vol. 36, No. 5, 2000, pp [14] M. Durlam, P. Naji, M. DeHerrera, S. Tehrani, G. Kerszykowski, and K.Kyler, Nonvolatile RAM based on magnetic tunnel junction elements, in IEEE ISSCC Dig. of Tech. Papers, Feb. 2000, Vol. 43, p. 128.

MAGNETORESISTIVE random access memory

MAGNETORESISTIVE random access memory 132 IEEE TRANSACTIONS ON MAGNETICS, VOL. 41, NO. 1, JANUARY 2005 A 4-Mb Toggle MRAM Based on a Novel Bit and Switching Method B. N. Engel, J. Åkerman, B. Butcher, R. W. Dave, M. DeHerrera, M. Durlam, G.

More information

WITH the widespread adoption of portable digital

WITH the widespread adoption of portable digital 32 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 1, NO. 1, MARCH 2002 The Science and Technology of Magnetoresistive Tunneling Memory Brad N. Engel, Nicholas D. Rizzo, Jason Janesky, Jon M. Slaughter, Renu

More information

A REVIEW ON MAGNETIC TUNNEL JUNCTION TECHNOLOGY

A REVIEW ON MAGNETIC TUNNEL JUNCTION TECHNOLOGY A REVIEW ON MAGNETIC TUNNEL JUNCTION TECHNOLOGY Pawan Choudhary 1, Dr. Kanika Sharma 2, Sagar Balecha 3, Bhaskar Mishra 4 1 M.E Scholar, Electronics & Communication Engineering, National Institute of Technical

More information

Low Power 256K MRAM Design

Low Power 256K MRAM Design Low Power 256K MRAM Design R. Beech, R. Sinclair, NVE Corp., 11409 Valley View Road, Eden Prairie, MN 55344, beech@nve.com Abstract A low power Magnetoresistive Random Access Memory (MRAM), that uses a

More information

A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference

A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference Yong-Sik Park, Gyu-Hyun Kil, and Yun-Heub Song a) Department of Electronics and Computer Engineering,

More information

Status and Prospect for MRAM Technology

Status and Prospect for MRAM Technology Status and Prospect for MRAM Technology Dr. Saied Tehrani Nonvolatile Memory Seminar Hot Chips Conference August 22, 2010 Memorial Auditorium Stanford University Everspin Technologies, Inc. - 2010 Agenda

More information

Magnetic tunnel junction sensor development for industrial applications

Magnetic tunnel junction sensor development for industrial applications Magnetic tunnel junction sensor development for industrial applications Introduction Magnetic tunnel junctions (MTJs) are a new class of thin film device which was first successfully fabricated in the

More information

Application Note Model 765 Pulse Generator for Semiconductor Applications

Application Note Model 765 Pulse Generator for Semiconductor Applications Application Note Model 765 Pulse Generator for Semiconductor Applications Non-Volatile Memory Cells Characterization The trend of memory research is to develop a new memory called Non-Volatile RAM that

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Magnetic Spin Devices: 7 Years From Lab To Product. Jim Daughton, NVE Corporation. Symposium X, MRS 2004 Fall Meeting

Magnetic Spin Devices: 7 Years From Lab To Product. Jim Daughton, NVE Corporation. Symposium X, MRS 2004 Fall Meeting Magnetic Spin Devices: 7 Years From Lab To Product Jim Daughton, NVE Corporation Symposium X, MRS 2004 Fall Meeting Boston, MA December 1, 2004 Outline of Presentation Early Discoveries - 1988 to 1995

More information

Journal of Electron Devices, Vol. 20, 2014, pp

Journal of Electron Devices, Vol. 20, 2014, pp Journal of Electron Devices, Vol. 20, 2014, pp. 1786-1791 JED [ISSN: 1682-3427 ] ANALYSIS OF GIDL AND IMPACT IONIZATION WRITING METHODS IN 100nm SOI Z-DRAM Bhuwan Chandra Joshi, S. Intekhab Amin and R.

More information

III III a IIOI OlD IIO II II IIII uui IIO IIII uuu II uii IIi

III III a IIOI OlD IIO II II IIII uui IIO IIII uuu II uii IIi (19) United States III III a IIOI OlD IIO 1101 100 II II IIII uui IIO IIII uuu II uii IIi US 20060043443A1 12) Patent Application Publication (1 E006/0043443 Al Sugahara et at. (43) Pub. Date: Mar. 2,

More information

Memory (Part 1) RAM memory

Memory (Part 1) RAM memory Budapest University of Technology and Economics Department of Electron Devices Technology of IT Devices Lecture 7 Memory (Part 1) RAM memory Semiconductor memory Memory Overview MOS transistor recap and

More information

Supplementary Figure 1 High-resolution transmission electron micrograph of the

Supplementary Figure 1 High-resolution transmission electron micrograph of the Supplementary Figure 1 High-resolution transmission electron micrograph of the LAO/STO structure. LAO/STO interface indicated by the dotted line was atomically sharp and dislocation-free. Supplementary

More information

Thermal Assisted Switching Magnetic Tunnel Junctions as FPGA Memory Elements

Thermal Assisted Switching Magnetic Tunnel Junctions as FPGA Memory Elements Thermal Assisted Switching Magnetic Tunnel Junctions as FPGA Memory Elements V. Silva *, J. R. Fernandes *, L. B. Oliveira, H. C. Neto *, R. Ferreira, S. Freitas #, P. P. Freitas # * INESC-ID / IST / UTL,

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

MgO MTJ biosensors for immunomagnetic lateralflow

MgO MTJ biosensors for immunomagnetic lateralflow MgO MTJ biosensors for immunomagnetic lateralflow detection Ricardo Jorge Penelas Janeiro Under supervision of Susana Isabel Pinheiro Cardoso de Freitas Dep. Physics, IST, Lisbon, Portugal Octrober 15,

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Solid State Devices- Part- II. Module- IV

Solid State Devices- Part- II. Module- IV Solid State Devices- Part- II Module- IV MOS Capacitor Two terminal MOS device MOS = Metal- Oxide- Semiconductor MOS capacitor - the heart of the MOSFET The MOS capacitor is used to induce charge at the

More information

Behavioural model of Spin Torque Transfer Magnetic Tunnel Junction, Using Verilog-A

Behavioural model of Spin Torque Transfer Magnetic Tunnel Junction, Using Verilog-A International Journal of Advancements in Research & Technology, Volume 1, Issue6, November-2012 1 Behavioural model of Spin Torque Transfer Magnetic Tunnel Junction, Using Verilog-A Rishubh Garg, Deepak

More information

An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction

An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction Won Ho Choi*, Yang Lv*, Hoonki Kim, Jian-Ping Wang, and Chris H. Kim *equal contribution

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member, IEEE

A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member, IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member,

More information

Non-Volatile Memory Characterization and Measurement Techniques

Non-Volatile Memory Characterization and Measurement Techniques Non-Volatile Memory Characterization and Measurement Techniques Alex Pronin Keithley Instruments, Inc. 1 2012-5-21 Why do more characterization? NVM: Floating gate Flash memory Very successful; lead to

More information

SIMULATION OF EDGE TRIGGERED D FLIP FLOP USING SINGLE ELECTRON TRANSISTOR(SET)

SIMULATION OF EDGE TRIGGERED D FLIP FLOP USING SINGLE ELECTRON TRANSISTOR(SET) SIMULATION OF EDGE TRIGGERED D FLIP FLOP USING SINGLE ELECTRON TRANSISTOR(SET) Prashanth K V, Monish A G, Pavanjoshi, Madhan Kumar, KavyaS(Assistant professor) Department of Electronics and Communication

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

A study of using STT-MRAM as Memory PUF: Design, Modeling and. Quality Evaluation

A study of using STT-MRAM as Memory PUF: Design, Modeling and. Quality Evaluation A study of using STT-MRAM as Memory PUF: Design, Modeling and Quality Evaluation BY PAOLO VINELLA B.S., Politecnico di Torino, Turin, Italy, 2012 THESIS Submitted as partial fulfillment of the requirements

More information

Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells

Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells Ke Chen, Jie Han and Fabrizio Lombardi Abstract This paper proposes two non-volatile content addressable memory (CAM)

More information

Architecture of Computers and Parallel Systems Part 9: Digital Circuits

Architecture of Computers and Parallel Systems Part 9: Digital Circuits Architecture of Computers and Parallel Systems Part 9: Digital Circuits Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems Part

More information

Breaking Through Impenetrable Barriers

Breaking Through Impenetrable Barriers Breaking Through Impenetrable Barriers The Key to the Evolution of Solid State Memory A Pictorial Approach Andrew J. Walker PhD August 2018 1 The Link between α-particles, 3-D NAND and MRAM? - Quantum

More information

In pursuit of high-density storage class memory

In pursuit of high-density storage class memory Edition October 2017 Semiconductor technology & processing In pursuit of high-density storage class memory A novel thermally stable GeSe-based selector paves the way to storage class memory applications.

More information

Simulation and Analysis of CNTFETs based Logic Gates in HSPICE

Simulation and Analysis of CNTFETs based Logic Gates in HSPICE Simulation and Analysis of CNTFETs based Logic Gates in HSPICE Neetu Sardana, 2 L.K. Ragha M.E Student, 2 Guide Electronics Department, Terna Engineering College, Navi Mumbai, India Abstract Conventional

More information

MTLE-6120: Advanced Electronic Properties of Materials. Semiconductor transistors for logic and memory. Reading: Kasap

MTLE-6120: Advanced Electronic Properties of Materials. Semiconductor transistors for logic and memory. Reading: Kasap MTLE-6120: Advanced Electronic Properties of Materials 1 Semiconductor transistors for logic and memory Reading: Kasap 6.6-6.8 Vacuum tube diodes 2 Thermionic emission from cathode Electrons collected

More information

Tunneling Magnetoresistance Devices with MgO barrier and CoFeB electrodes for Magnetic. Field

Tunneling Magnetoresistance Devices with MgO barrier and CoFeB electrodes for Magnetic. Field Tunneling Magnetoresistance Devices with MgO barrier and CoFeB electrodes for Magnetic Field Sensors Piotr Wiśniowski Michał Dąbek Department of Electronics AGH-University of Science and Technology NANOSPIN-Meeting,

More information

The Physics of Single Event Burnout (SEB)

The Physics of Single Event Burnout (SEB) Engineered Excellence A Journal for Process and Device Engineers The Physics of Single Event Burnout (SEB) Introduction Single Event Burnout in a diode, requires a specific set of circumstances to occur,

More information

COMMERCIAL APPLICATIONS OF SPINTRONICS TECHNOLOGY

COMMERCIAL APPLICATIONS OF SPINTRONICS TECHNOLOGY Presented at Nanomaterials 2004, Stamford, CT, October 25, 2004 COMMERCIAL APPLICATIONS OF SPINTRONICS TECHNOLOGY Carl H. Smith Senior Physicist, Advanced Technology Group NVE Corporation 11409 Valley

More information

Sensing Circuits for Resistive Memory

Sensing Circuits for Resistive Memory Sensing Circuits for Resistive Memory R. Jacob, Ph.D., P.E. Department of Electrical Engineering Boise State University 1910 University Dr., ET 201 Boise, ID 83725 jbaker@ieee.org Abstract A nascent class

More information

On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells

On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells Ke Chen, Jie Han, and Fabrizio Lombardi Abstract This brief investigates

More information

Data Remanence in Semiconductor Devices

Data Remanence in Semiconductor Devices Data Remanence in Semiconductor Devices Peter Gutmann IBM T.J.Watson Research Center Introduction 1996: Securely deleting data from magnetic media is hard 2001: Semiconductors aren t so easy either Magnetic

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

Magnetic tunnel junction sensors with conetic alloy. Lei, ZQ; Li, GJ; Egelhoff Jr, WF; Lai, PT; Pong, PWT

Magnetic tunnel junction sensors with conetic alloy. Lei, ZQ; Li, GJ; Egelhoff Jr, WF; Lai, PT; Pong, PWT Title Magnetic tunnel junction sensors with conetic alloy Author(s) Lei, ZQ; Li, GJ; Egelhoff Jr, WF; Lai, PT; Pong, PWT Citation The 2010 Asia-Pacific Data Storage Conference (APDSC'10), Hualien, Taiwan,

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

EE 330 Lecture 12. Devices in Semiconductor Processes. Diodes

EE 330 Lecture 12. Devices in Semiconductor Processes. Diodes EE 330 Lecture 12 Devices in Semiconductor Processes Diodes Guest Lecture: Joshua Abbott Non Volatile Product Engineer Micron Technology NAND Memory: Operation, Testing and Challenges Intro to Flash Memory

More information

Fabrication and Characterization of Emerging Nanoscale Memory

Fabrication and Characterization of Emerging Nanoscale Memory Fabrication and Characterization of Emerging Nanoscale Memory Yuan Zhang, SangBum Kim, Byoungil Lee, Marissa Caldwell(*), and (*) Chemistry Department Stanford University, Stanford, California, U.S.A.

More information

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Michael J. Hall Viktor Gruev Roger D. Chamberlain Michael J. Hall, Viktor Gruev, and Roger D. Chamberlain, Performance

More information

LOW LEAKAGE CNTFET FULL ADDERS

LOW LEAKAGE CNTFET FULL ADDERS LOW LEAKAGE CNTFET FULL ADDERS Rajendra Prasad Somineni srprasad447@gmail.com Y Padma Sai S Naga Leela Abstract As the technology scales down to 32nm or below, the leakage power starts dominating the total

More information

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Chapter 2 : Semiconductor Materials & Devices (II) Feb Chapter 2 : Semiconductor Materials & Devices (II) 1 Reference 1. SemiconductorManufacturing Technology: Michael Quirk and Julian Serda (2001) 3. Microelectronic Circuits (5/e): Sedra & Smith (2004) 4.

More information

CMAT Non-Volatile Spintronic Computing: Complementary MTJ Logic

CMAT Non-Volatile Spintronic Computing: Complementary MTJ Logic Invited Paper CMAT Non-Volatile Spintronic Computing: Complementary MTJ Logic Joseph S. Friedman Department of Electrical Engineering The University of Texas at Dallas Richardson, TX 75080 ABSTRACT Magnetic

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

ISSCC 2003 / SESSION 1 / PLENARY / 1.1 ISSCC 2003 / SESSION 1 / PLENARY / 1.1 1.1 No Exponential is Forever: But Forever Can Be Delayed! Gordon E. Moore Intel Corporation Over the last fifty years, the solid-state-circuits industry has grown

More information

FET. Field Effect Transistors ELEKTRONIKA KONTROL. Eka Maulana, ST, MT, M.Eng. Universitas Brawijaya. p + S n n-channel. Gate. Basic structure.

FET. Field Effect Transistors ELEKTRONIKA KONTROL. Eka Maulana, ST, MT, M.Eng. Universitas Brawijaya. p + S n n-channel. Gate. Basic structure. FET Field Effect Transistors ELEKTRONIKA KONTROL Basic structure Gate G Source S n n-channel Cross section p + p + p + G Depletion region Drain D Eka Maulana, ST, MT, M.Eng. Universitas Brawijaya S Channel

More information

Study of Two Writing Schemes for a Magnetic Tunnel Junction Based On Spin Orbit Torque

Study of Two Writing Schemes for a Magnetic Tunnel Junction Based On Spin Orbit Torque Study of Two Writing Schemes for a Magnetic Tunnel Junction Based On Spin Orbit Torque K. Jabeur, L. D. Buda-Prejbeanu, G. Prenat, and G. Di Pendina Abstract MRAM technology provides a combination of fast

More information

Power MOSFET Zheng Yang (ERF 3017,

Power MOSFET Zheng Yang (ERF 3017, ECE442 Power Semiconductor Devices and Integrated Circuits Power MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Evolution of low-voltage (

More information

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its

More information

Digital Electronics Part II - Circuits

Digital Electronics Part II - Circuits Digital Electronics Part II - Circuits Dr. I. J. Wassell Gates from Transistors 1 Introduction Logic circuits are non-linear, consequently we will introduce a graphical technique for analysing such circuits

More information

High Performance Spin-Orbit-Torque (SOT) Based Non-volatile Standard Cell for Hybrid CMOS/Magnetic ICs

High Performance Spin-Orbit-Torque (SOT) Based Non-volatile Standard Cell for Hybrid CMOS/Magnetic ICs Computer Science and Information Technology 5(3): 9-96, 27 DOI:.389/csit.27.53 http://www.hrpub.org High Performance Spin-Orbit-Torque (SOT) Based Non-volatile Standard Cell for Hybrid CMOS/Magnetic ICs

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

S1. Current-induced switching in the magnetic tunnel junction.

S1. Current-induced switching in the magnetic tunnel junction. S1. Current-induced switching in the magnetic tunnel junction. Current-induced switching was observed at room temperature at various external fields. The sample is prepared on the same chip as that used

More information

MRAM. By Jeff Hanna. Table of Contents

MRAM. By Jeff Hanna. Table of Contents MRAM By Jeff Hanna Abstract Magnetic Random Access memory holds the potential to replace all different types of memory as the only candidate for true universal memory. Memory is an element that is in every

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Induction of coherent magnetization switching in a few atomic layers of FeCo using voltage pulses Yoichi Shiota 1, Takayuki Nozaki 1, 2,, Frédéric Bonell 1, Shinichi Murakami 1,2, Teruya Shinjo 1, and

More information

Quantitative evaluation of reliability and performance for STT-MRAM

Quantitative evaluation of reliability and performance for STT-MRAM Quantitative evaluation of reliability and performance for STT-MRAM Liuyang Zhang, Aida Todri-Sanial, Wang Kang, Youguang Zhang, Lionel Torres, Yuanqing Cheng, Weisheng Zhao To cite this version: Liuyang

More information

Field Effect Transistors

Field Effect Transistors Field Effect Transistors Purpose In this experiment we introduce field effect transistors (FETs). We will measure the output characteristics of a FET, and then construct a common-source amplifier stage,

More information

Towards a Reconfigurable Nanocomputer Platform

Towards a Reconfigurable Nanocomputer Platform Towards a Reconfigurable Nanocomputer Platform Paul Beckett School of Electrical and Computer Engineering RMIT University Melbourne, Australia 1 The Nanoscale Cambrian Explosion Disparity: Widerangeof

More information

MTJ Variation Monitor-assisted Adaptive MRAM Write

MTJ Variation Monitor-assisted Adaptive MRAM Write MTJ Variation Monitor-assisted Adaptive MRAM Write Shaodi Wang shaodiwang@g.ucla.edu Pedram Khalili pedramk@ucla.edu Hochul Lee chul0524@ucla.edu Kang L. Wang wang@ee.ucla.edu Cecile Grezes grezes.cecile@gmail.com

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Basic Principles, Challenges and Opportunities of STT-MRAM for Embedded Memory Applications

Basic Principles, Challenges and Opportunities of STT-MRAM for Embedded Memory Applications Basic Principles, Challenges and Opportunities of STT-MRAM for Embedded Memory Applications Luc Thomas TDK- Headway Technologies, 463 S. Milpitas Boulevard, Milpitas CA 95035, USA MRAM Team at TDK - Headway

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

A Spin-Torque Transfer MRAM in 90nm CMOS. Hui William Song

A Spin-Torque Transfer MRAM in 90nm CMOS. Hui William Song A Spin-Torque Transfer MRAM in 90nm CMOS by Hui William Song A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department of Electrical and Computer

More information

A Nondestructive Self-Reference Scheme for Spin- Transfer Torque Random Access Memory (STT-RAM)

A Nondestructive Self-Reference Scheme for Spin- Transfer Torque Random Access Memory (STT-RAM) A Nondestructive Self-eference Scheme for Spin- Transfer Torque andom Access Memory (STT-AM Yiran Chen, Hai (Helen Li*, Xiaobin Wang, Wenzhong Zhu, Wei Xu and Tong Zhang *ECE Department PolyTech nstitute

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

LOW POWER CIRCUITS DESIGN USING RESISTIVE NON-VOLATILE MEMORIES HUANG KEJIE

LOW POWER CIRCUITS DESIGN USING RESISTIVE NON-VOLATILE MEMORIES HUANG KEJIE LOW POWER CIRCUITS DESIGN USING RESISTIVE NON-VOLATILE MEMORIES HUANG KEJIE A THESIS SUBMITTED FOR THE DEGREE OF DOCTOR OF PHILOSOPHY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING NATIONAL UNIVERSITY

More information

Static Power and the Importance of Realistic Junction Temperature Analysis

Static Power and the Importance of Realistic Junction Temperature Analysis White Paper: Virtex-4 Family R WP221 (v1.0) March 23, 2005 Static Power and the Importance of Realistic Junction Temperature Analysis By: Matt Klein Total power consumption of a board or system is important;

More information

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI A.Karthik 1, K.Manasa 2 Assistant Professor, Department of Electronics and Communication Engineering, Narsimha Reddy Engineering

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

LSI ON GLASS SUBSTRATES

LSI ON GLASS SUBSTRATES LSI ON GLASS SUBSTRATES OUTLINE Introduction: Why System on Glass? MOSFET Technology Low-Temperature Poly-Si TFT Technology System-on-Glass Technology Issues Conclusion System on Glass CPU SRAM DRAM EEPROM

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

Energy and Performance Driven Circuit Design for Emerging Phase-Change Memory

Energy and Performance Driven Circuit Design for Emerging Phase-Change Memory Energy and Performance Driven Circuit Design for Emerging Phase-Change Memory Dimin Niu, Yibo Chen, Xiangyu Dong, Yuan Xie The Pennsylvania State University, University Park, PA, USA {dun118, yxc236, xydong,

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 3 Ch.1 The Evolution of The Microprocessor 17-Feb-15 1 Chapter Objectives Introduce the microprocessor evolution from transistors to

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

5.0 V-Only Flash Memory Negative Gate Erase Technology

5.0 V-Only Flash Memory Negative Gate Erase Technology 5.0 V-Only Flash Memory Negative ate Erase Technology Application Note Advanced Micro evices Advanced Micro evices Negative ate Erase, 5.0 V- only technology is the most cost-effective and reliable approach

More information

Charge Pumps: An Overview

Charge Pumps: An Overview harge Pumps: An Overview Louie Pylarinos Edward S. Rogers Sr. Department of Electrical and omputer Engineering University of Toronto Abstract- In this paper we review the genesis of charge pump circuits,

More information

Magnetic and Electromagnetic Microsystems. 4. Example: magnetic read/write head

Magnetic and Electromagnetic Microsystems. 4. Example: magnetic read/write head Magnetic and Electromagnetic Microsystems 1. Magnetic Sensors 2. Magnetic Actuators 3. Electromagnetic Sensors 4. Example: magnetic read/write head (C) Andrei Sazonov 2005, 2006 1 Magnetic microsystems

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

PCM progress report no. 7: A look at Samsung's 8-Gb array

PCM progress report no. 7: A look at Samsung's 8-Gb array PCM progress report no. 7: A look at Samsung's 8-Gb array Here's a discussion on the features of Samsung s 8-Gb array. By Ron Neale After Samsung s presentation [1] of their 8-Gb PRAM at ISSCC2012 and

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

EIE209 Basic Electronics. Transistor Devices. Contents BJT and FET Characteristics Operations. Prof. C.K. Tse: T ransistor devices

EIE209 Basic Electronics. Transistor Devices. Contents BJT and FET Characteristics Operations. Prof. C.K. Tse: T ransistor devices EIE209 Basic Electronics Transistor Devices Contents BJT and FET Characteristics Operations 1 What is a transistor? Three-terminal device whose voltage-current relationship is controlled by a third voltage

More information

Single Transistor Learning Synapses

Single Transistor Learning Synapses Single Transistor Learning Synapses Paul Hasler, Chris Diorio, Bradley A. Minch, Carver Mead California Institute of Technology Pasadena, CA 91125 (818) 395-2812 paul@hobiecat.pcmp.caltech.edu Abstract

More information

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator 1 G. Rajesh, 2 G. Guru Prakash, 3 M.Yachendra, 4 O.Venka babu, 5 Mr. G. Kiran Kumar 1,2,3,4 Final year, B. Tech, Department

More information

Sensors & Transducers 2014 by IFSA Publishing, S. L.

Sensors & Transducers 2014 by IFSA Publishing, S. L. Sensors & Transducers 2014 by IFSA Publishing, S. L. http://www.sensorsportal.com Neural Circuitry Based on Single Electron Transistors and Single Electron Memories Aïmen BOUBAKER and Adel KALBOUSSI Faculty

More information

Resonant Tunneling Device. Kalpesh Raval

Resonant Tunneling Device. Kalpesh Raval Resonant Tunneling Device Kalpesh Raval Outline Diode basics History of Tunnel diode RTD Characteristics & Operation Tunneling Requirements Various Heterostructures Fabrication Technique Challenges Application

More information

An introduction to Depletion-mode MOSFETs By Linden Harrison

An introduction to Depletion-mode MOSFETs By Linden Harrison An introduction to Depletion-mode MOSFETs By Linden Harrison Since the mid-nineteen seventies the enhancement-mode MOSFET has been the subject of almost continuous global research, development, and refinement

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information