SUPPLEMENTARY INFORMATION

Size: px
Start display at page:

Download "SUPPLEMENTARY INFORMATION"

Transcription

1 A fast, high endurance and scalable non-volatile memory device made from asymmetric Ta 2 O 5-x /TaO 2-x bilayer structures Myoung-Jae Lee 1, Chang Bum Lee 1, Dongsoo Lee 1, Seung Ryul Lee 1, Man Chang 1, Ji Hyun Hur 1, Young-Bae Kim 1, Chang-Jung Kim 1, David H. Seo 1, Sunae Seo 2, U-In Chung 1, In-Kyeong Yoo 1 and Kinam Kim 3 1 Semiconductor Device Laboratory, Samsung Advanced Institute of Technology, Gyeonggi , Korea 2 Department of Physics, Sejong University, Seoul , Korea 3 Samsung Advanced Institute of Technology, Samsung Electronics, Yongin, Gyeonggido , Korea NATURE MATERIALS 1

2 Supplementary Figures Figure S1 SEM images of before and after the lift-off process for definition of 30 nm lines used in the crosspoint structure. a, Shows images from after developing the 2-layer ZEP/LOR e-beam resist and depositing Pt/Ti. b, After lift-off using an ER stripper showing the 21 nm Pt line and larger contact pad. c, After completing the top 30 nm Pt line above the Ta 2 O 5-x /TaO 2-x layer for the crossbar device. 2 NATURE MATERIALS

3 SUPPLEMENTARY INFORMATION Figure S2 Electroforming process. The initialization of resistance switching, the socalled electroforming process in metal-insulator-base-metal (MIMB) structure is usually performed by applying a dc voltage sweep from 0 V to about - 3 V on the top electrode with a compliance current of 100 µa. At a certain voltage during the sweep, so-called forming voltage, an abrupt increase of current is observed and the voltage sweep process is terminated at the preset current compliance set value. At this time, conducting filaments are formed in the highly insulating Ta 2 O 5-x layer. NATURE MATERIALS 3

4 Figure S3 Raw data (I-V sweeps) for devices by different cell sizes. 4 NATURE MATERIALS

5 SUPPLEMENTARY INFORMATION Figure S4 Anti-serial HRTEM image of Pt/TaO 2-x /Ta 2 O 5-x /Pt/Ta 2 O 5-x /TaO 2-x /Pt. In the current structure the middle Pt (TE1) is a continues line which extends out of the plane. For the final anti-serial structure the Pt line must either be removed or etched into an embedded floating electrode. NATURE MATERIALS 5

6 Figure S5 The stray leakage path problem. a, Reading interference in an array consisting of 2 2 cells without switch elements. b, Rectified reading operation in an array consisting of 2 2 cells with switch elements. A well known issue for crossbar type devices is the need for a rectifying element. In order for any memory cell to be randomly accessed, each memory element must be connected with a switch element to prevent reading interference between neighboring cells. Figure S5a shows a typical erroneous operation of the simplest 2 2 crossbar cell array without switch elements. Although we want to read the information of the cell in the HRS surrounded by three cells in the LRS, the reading current can easily flow through the surrounding cells in the LRS and thus transmit erroneous LRS information. By adding a switch element with rectifying behaviors, alternate paths are rectified by applying an appropriate voltage to all other unselected cells and the reading current only flows through the selected cell we want to access as shown in Fig. S5b. The rectifying element was conventionally a diode or transistor. However we have used neither in our device by taking advantage of the asymmetric structure and I-V behavior. By combining two asymmetric devices into a combined anti-serial device we can overcome the stray leakage path problem. 6 NATURE MATERIALS

7 SUPPLEMENTARY INFORMATION 250 o 275 o C 85 o C 300C o 320 o C C fail Figure S6 Retention measurements. The measured activation energy was 1.47 ev, leading to a retention value of over 10 years at 85. NATURE MATERIALS 7

8 Figure S7 Photomask and optical images from fabricated devices. a, CAD image of the photomask. b-g, Optical images of 8 8 cell array in different cell sizes (b), µm 2 (c), 1 1 µm 2 (d), 2 2 µm 2 (e), 5 5 µm 2 (f), µm 2 (g), µm 2 8 NATURE MATERIALS

9 SUPPLEMENTARY INFORMATION Figure S8 Operation of a 64 cell array ( µm 2 ) and 10 cell array (30 30 nm 2 ). 64 of 64 cells and 10 of 10 cells were operational for each respective array. NATURE MATERIALS 9

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its

More information

Supplementary Information

Supplementary Information Normalized Intensity Current (A) Supplementary Information 10-2 10-3 10-4 10-5 10-6 10-7 10-8 10-9 30x30 m 2 V set V reset Electroforming Pt/ / /Pt 10-10 -4-3 -2-1 0 1 2 3 4 5 Voltage (V) Pt/ / /SiO 2

More information

Supporting Information. Vertical Graphene-Base Hot-Electron Transistor

Supporting Information. Vertical Graphene-Base Hot-Electron Transistor Supporting Information Vertical Graphene-Base Hot-Electron Transistor Caifu Zeng, Emil B. Song, Minsheng Wang, Sejoon Lee, Carlos M. Torres Jr., Jianshi Tang, Bruce H. Weiller, and Kang L. Wang Department

More information

MoS 2 nanosheet phototransistors with thicknessmodulated

MoS 2 nanosheet phototransistors with thicknessmodulated Supporting Information MoS 2 nanosheet phototransistors with thicknessmodulated optical energy gap Hee Sung Lee, Sung-Wook Min, Youn-Gyung Chang, Park Min Kyu, Taewook Nam, # Hyungjun Kim, # Jae Hoon Kim,

More information

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2 Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS 2 /hon a 300- BN/graphene heterostructures. a, CVD-grown b, Graphene was patterned into graphene strips by oxygen monolayer

More information

Fabrication and Electrical Properties of Local Damascene FinFET Cell Array in Sub-60nm Feature Sized DRAM

Fabrication and Electrical Properties of Local Damascene FinFET Cell Array in Sub-60nm Feature Sized DRAM JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.2, JUNE, 2006 61 Fabrication and Electrical Properties of Local Damascene FinFET Cell Array in Sub-60nm Feature Sized DRAM Yong-Sung Kim*, Soo-Ho

More information

Self-compliance RRAM characteristics using a novel W/TaO x /TiN structure

Self-compliance RRAM characteristics using a novel W/TaO x /TiN structure Maikap et al. Nanoscale Research Letters 2014, 9:292 NANO EXPRESS Self-compliance RRAM characteristics using a novel W/TaO x /TiN structure Siddheswar Maikap *, Debanjan Jana, Mrinmoy Dutta and Amit Prakash

More information

Microstructured Air Cavities as High-Index-Contrast Substrates with

Microstructured Air Cavities as High-Index-Contrast Substrates with Supporting Information for: Microstructured Air Cavities as High-Index-Contrast Substrates with Strong Diffraction for Light-Emitting Diodes Yoon-Jong Moon, Daeyoung Moon, Jeonghwan Jang, Jin-Young Na,

More information

In pursuit of high-density storage class memory

In pursuit of high-density storage class memory Edition October 2017 Semiconductor technology & processing In pursuit of high-density storage class memory A novel thermally stable GeSe-based selector paves the way to storage class memory applications.

More information

SiGe epitaxial memory for neuromorphic computing with reproducible high performance based on engineered dislocations

SiGe epitaxial memory for neuromorphic computing with reproducible high performance based on engineered dislocations SUPPLEMENTARY INFORMATION Articles https://doi.org/10.1038/s41563-017-0001-5 In the format provided by the authors and unedited. SiGe epitaxial memory for neuromorphic computing with reproducible high

More information

Atomristor: Non-Volatile Resistance Switching in Atomic Sheets of

Atomristor: Non-Volatile Resistance Switching in Atomic Sheets of Atomristor: Non-Volatile Resistance Switching in Atomic Sheets of Transition Metal Dichalcogenides Ruijing Ge 1, Xiaohan Wu 1, Myungsoo Kim 1, Jianping Shi 2, Sushant Sonde 3,4, Li Tao 5,1, Yanfeng Zhang

More information

Supplementary Information

Supplementary Information Supplementary Information Wireless thin film transistor based on micro magnetic induction coupling antenna Byoung Ok Jun 1, Gwang Jun Lee 1, Jong Gu Kang 1,2, Seung Uk Kim 1, Ji Woong Choi 1, Seung Nam

More information

Supporting Information

Supporting Information Supporting Information Resistive Switching Memory Effects of NiO Nanowire/Metal Junctions Keisuke Oka 1, Takeshi Yanagida 1,2 *, Kazuki Nagashima 1, Tomoji Kawai 1,3 *, Jin-Soo Kim 3 and Bae Ho Park 3

More information

Supplementary information for

Supplementary information for Supplementary information for A fast and low power microelectromechanical system based nonvolatile memory device Sang Wook Lee, Seung Joo Park, Eleanor E. B. Campbell & Yung Woo Park The supplementary

More information

Fabrication and Characterization of Emerging Nanoscale Memory

Fabrication and Characterization of Emerging Nanoscale Memory Fabrication and Characterization of Emerging Nanoscale Memory Yuan Zhang, SangBum Kim, Byoungil Lee, Marissa Caldwell(*), and (*) Chemistry Department Stanford University, Stanford, California, U.S.A.

More information

Resistive Switching Memory in Integration

Resistive Switching Memory in Integration EDS Mini Colloquim WIMNACT 39, Tokyo Resistive Switching Memory in Integration Ming Liu Institute of Microelectronics, CAS Feb.7, 2014 Outline Motivation RRAM Integration Self-Rectifying RRAM 1D1R Integration

More information

Microfluidic-integrated laser-controlled. microactuators with on-chip microscopy imaging. functionality

Microfluidic-integrated laser-controlled. microactuators with on-chip microscopy imaging. functionality Electronic Supplementary Material (ESI) for Lab on a Chip. This journal is The Royal Society of Chemistry 2014 Supporting Information Microfluidic-integrated laser-controlled microactuators with on-chip

More information

4H-SiC Planar MESFET for Microwave Power Device Applications

4H-SiC Planar MESFET for Microwave Power Device Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.5, NO.2, JUNE, 2005 113 4H-SiC Planar MESFET for Microwave Power Device Applications Hoon Joo Na*, Sang Yong Jung*, Jeong Hyun Moon*, Jeong Hyuk Yim*,

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/2/6/e1501326/dc1 Supplementary Materials for Organic core-sheath nanowire artificial synapses with femtojoule energy consumption Wentao Xu, Sung-Yong Min, Hyunsang

More information

Additional information Indium-free, highly transparent, flexible Cu2O/Cu/Cu2O mesh electrodes for flexible touch screen panels

Additional information Indium-free, highly transparent, flexible Cu2O/Cu/Cu2O mesh electrodes for flexible touch screen panels Additional information Indium-free, highly transparent, flexible Cu2O/Cu/Cu2O mesh electrodes for flexible touch screen panels By Don-Ju Kim 1, Hyo-Joong Kim 1, Ki-Won Seo 1, Ki-Hyun Kim 2, Tae-Wong Kim

More information

An elegant route to overcome fundamentally-limited light. extraction in AlGaN deep-ultraviolet light-emitting diodes:

An elegant route to overcome fundamentally-limited light. extraction in AlGaN deep-ultraviolet light-emitting diodes: Supplementary Information An elegant route to overcome fundamentally-limited light extraction in AlGaN deep-ultraviolet light-emitting diodes: Preferential outcoupling of strong in-plane emission Jong

More information

Fabrication and Usage of a Multi-turn µ-coil and a PR Channel Combined with a Dual-type GMR-SV Device

Fabrication and Usage of a Multi-turn µ-coil and a PR Channel Combined with a Dual-type GMR-SV Device Journal of Magnetics 22(4), 649-653 (2017) ISSN (Print) 1226-1750 ISSN (Online) 2233-6656 https://doi.org/10.4283/jmag.2017.22.4.649 Fabrication and Usage of a Multi-turn µ-coil and a PR Channel Combined

More information

Subcellular Neural Probes from Single Crystal. Gold Nanowires

Subcellular Neural Probes from Single Crystal. Gold Nanowires Supporting Information Subcellular Neural Probes from Single Crystal Gold Nanowires Mijeong Kang,, Seungmoon Jung, Huanan Zhang, Taejoon Kang, # Hosuk Kang, Youngdong Yoo, Jin-Pyo Hong, Jae-Pyoung Ahn,

More information

A transparent, conformable, active multielectrode array using organic electrochemical transistors

A transparent, conformable, active multielectrode array using organic electrochemical transistors Supplementary Information A transparent, conformable, active multielectrode array using organic electrochemical transistors Wonryung Lee a, Dongmin Kim a,b, Naoji Matsuhisa a, Masae Nagase a,b, Masaki

More information

Non-Volatile Memory Based on Solid Electrolytes

Non-Volatile Memory Based on Solid Electrolytes Non-Volatile Memory Based on Solid Electrolytes Michael Kozicki Chakku Gopalan Murali Balakrishnan Mira Park Maria Mitkova Center for Solid State Electronics Research Introduction The electrochemical redistribution

More information

Degradation analysis in asymmetric sampled grating distributed feedback laser diodes

Degradation analysis in asymmetric sampled grating distributed feedback laser diodes Microelectronics Journal 8 (7) 74 74 www.elsevier.com/locate/mejo Degradation analysis in asymmetric sampled grating distributed feedback laser diodes Han Sung Joo, Sang-Wan Ryu, Jeha Kim, Ilgu Yun Semiconductor

More information

150 kj Compact Capacitive Pulsed Power System for an Electrothermal Chemical Gun

150 kj Compact Capacitive Pulsed Power System for an Electrothermal Chemical Gun J Electr Eng Technol Vol. 7, No. 6: 971-976, 2012 http://dx.doi.org/10.5370/jeet.2012.7.6.971 ISSN(Print) 1975-0102 ISSN(Online) 2093-7423 150 kj Compact Capacitive Pulsed Power System for an Electrothermal

More information

Photo-patternable and Transparent Films Using Cellulose Nanofibers for Stretchable, Origami Electronics

Photo-patternable and Transparent Films Using Cellulose Nanofibers for Stretchable, Origami Electronics Supplementary information for Photo-patternable and Transparent Films Using Cellulose Nanofibers for Stretchable, Origami Electronics Sangyoon Ji 1, 4, Byung Gwan Hyun 1, 4, Kukjoo Kim 1, 4, Sang Yun Lee

More information

Development of triode-type carbon nanotube field-emitter arrays with suppression of diode emission by forming electroplated Ni wall structure

Development of triode-type carbon nanotube field-emitter arrays with suppression of diode emission by forming electroplated Ni wall structure Development of triode-type carbon nanotube field-emitter arrays with suppression of diode emission by forming electroplated Ni wall structure J. E. Jung, a),b) J. H. Choi, Y. J. Park, c) H. W. Lee, Y.

More information

Supplementary Figures

Supplementary Figures Supplementary Figures Vertical SiO x edge Supplementary Figure S1 Schematic of the fabrication process of G/SiO x /ITO devices. S1 Supplementary Figure S2 Electroforming process in a G/SiO x /ITO device.

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION DOI: 10.1038/NNANO.2012.208 A Sub-1V Nanoelectromechanical Switching Device Jeong Oen Lee 1, Yong-Ha Song 1,Min-Wu Kim 1,Min-Ho Kang 2,Jae-Sup Oh 2,Hyun-Ho Yang 1,and Jun-Bo Yoon

More information

Partially-insulated MOSFET (PiFET) and Its Application to DRAM Cell Transistor

Partially-insulated MOSFET (PiFET) and Its Application to DRAM Cell Transistor 30 CHANG WOO OH et al : PARTIALLY-INSULATED MOSFET (PIFET) AND ITS APPLICATION TO DRAM CELL TRANSISTOR Partially-insulated MOSFET (PiFET) and Its Application to DRAM Cell Transistor Chang Woo Oh, Sung

More information

79 Hists air sigtais is a sign 83 r A. 838 EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE

79 Hists air sigtais is a sign 83 r A. 838 EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE US 20060011813A1 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2006/0011813 A1 Park et al. (43) Pub. Date: Jan. 19, 2006 (54) IMAGE SENSOR HAVING A PASSIVATION (22) Filed: Jan.

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Chalcogenide Memory, Logic and Processing Devices. Prof C David Wright Department of Engineering University of Exeter

Chalcogenide Memory, Logic and Processing Devices. Prof C David Wright Department of Engineering University of Exeter Chalcogenide Memory, Logic and Processing Devices Prof C David Wright Department of Engineering University of Exeter (david.wright@exeter.ac.uk) Acknowledgements University of Exeter Yat-Yin Au, Jorge

More information

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage 64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage Yufeng Xie a), Wenxiang Jian, Xiaoyong Xue, Gang Jin, and Yinyin Lin b) ASIC&System State Key Lab, Dept. of

More information

IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 32, NO. 6, DECEMBER

IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 32, NO. 6, DECEMBER IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 32, NO. 6, DECEMBER 2004 2189 Experimental Observation of Image Sticking Phenomenon in AC Plasma Display Panel Heung-Sik Tae, Member, IEEE, Jin-Won Han, Sang-Hun

More information

Resistive Switching Mechanisms on TaO x and SrRuO 3 Thin Film Surfaces Probed by Scanning Tunneling Microscopy

Resistive Switching Mechanisms on TaO x and SrRuO 3 Thin Film Surfaces Probed by Scanning Tunneling Microscopy Resistive Switching Mechanisms on TaO x and SrRuO 3 Thin Film Surfaces Probed by Scanning Tunneling Microscopy Marco Moors, 1# Kiran Kumar Adepalli, 2,3# Qiyang Lu, 3 Anja Wedig, 1 Christoph Bäumer, 1

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

I-V Characteristics of Al/HfO2/TaN RRAM Devices

I-V Characteristics of Al/HfO2/TaN RRAM Devices I-V Characteristics of Al/HfO2/TaN RRAM Devices By Arturo H. Valdivia A Project submitted to Oregon State University Honors College in partial fulfillment of the requirements for the degree of Honors Baccalaureate

More information

Widely Tunable Adaptive Resolution-controlled Read-sensing Reference Current Generation for Reliable PRAM Data Read at Scaled Technologies

Widely Tunable Adaptive Resolution-controlled Read-sensing Reference Current Generation for Reliable PRAM Data Read at Scaled Technologies JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.363 ISSN(Online) 2233-4866 Widely Tunable Adaptive Resolution-controlled

More information

A Differential 2R Crosspoint RRAM Array with Zero Standby Current

A Differential 2R Crosspoint RRAM Array with Zero Standby Current 1 A Differential 2R Crosspoint RRAM Array with Zero Standby Current Pi-Feng Chiu, Student Member, IEEE, and Borivoje Nikolić, Senior Member, IEEE Department of Electrical Engineering and Computer Sciences,

More information

Normally-Off Operation of AlGaN/GaN Heterojunction Field-Effect Transistor with Clamping Diode

Normally-Off Operation of AlGaN/GaN Heterojunction Field-Effect Transistor with Clamping Diode JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.2, APRIL, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.2.221 ISSN(Online) 2233-4866 Normally-Off Operation of AlGaN/GaN

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION A flexible and highly sensitive strain-gauge sensor using reversible interlocking of nanofibres Changhyun Pang 1, Gil-Yong Lee 2, Tae-il Kim 3, Sang Moon Kim 1, Hong Nam Kim 2, Sung-Hoon Ahn 2, and Kahp-Yang

More information

An Arbitrary Waveform 16 Channel Neural Stimulator with Adaptive Supply Regulator in 0.35 µm HV CMOS for Visual Prosthesis

An Arbitrary Waveform 16 Channel Neural Stimulator with Adaptive Supply Regulator in 0.35 µm HV CMOS for Visual Prosthesis JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.1, FEBRUARY, 213 http://dx.doi.org/1.5573/jsts.213.13.1.79 An Arbitrary Waveform 16 Channel Neural Stimulator with Adaptive Supply Regulator

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/science.1234855/dc1 Supplementary Materials for Taxel-Addressable Matrix of Vertical-Nanowire Piezotronic Transistors for Active/Adaptive Tactile Imaging Wenzhuo Wu,

More information

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor DRAM & Flexible RRAM This Week s Subject p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor CMOS Logic Inverter NAND gate NOR gate CMOS Integration & Layout GaAs MESFET (JFET) 1 Flexible

More information

FIG. 1: (a) Schematic of the device showing the material stack and relative thickness of each layer. (b) I-V switching characteristics of the device.

FIG. 1: (a) Schematic of the device showing the material stack and relative thickness of each layer. (b) I-V switching characteristics of the device. Pulse Width and Height Modulation for Multi-level Resistance in bi-layer TaO x based RRAM Zahiruddin Alamgir, 1 Karsten Beckmann, 1 Joshua Holt, 1 and Nathaniel C. Cady 1 Colleges of Nanoscale Science

More information

Resume. Research Experience Research assistant of electron-beam lithography system in inter-university semiconductor research center SNU)

Resume. Research Experience Research assistant of electron-beam lithography system in inter-university semiconductor research center SNU) Resume Updated at Aug-08-2005 Name Kyung Rok Kim Date & place of birth Born on February 14, 1976 in Seoul, Republic of KOREA Present occupation Post-Doctoral Researcher Office address Room CISX-302, Center

More information

Supporting Information. Epitaxially Aligned Cuprous Oxide Nanowires for All-Oxide, Single-Wire Solar Cells

Supporting Information. Epitaxially Aligned Cuprous Oxide Nanowires for All-Oxide, Single-Wire Solar Cells Supporting Information Epitaxially Aligned Cuprous Oxide Nanowires for All-Oxide, Single-Wire Solar Cells Sarah Brittman, 1,2 Youngdong Yoo, 1 Neil P. Dasgupta, 1,3 Si-in Kim, 4 Bongsoo Kim, 4 and Peidong

More information

Analog Synaptic Behavior of a Silicon Nitride Memristor

Analog Synaptic Behavior of a Silicon Nitride Memristor Supporting Information Analog Synaptic Behavior of a Silicon Nitride Memristor Sungjun Kim, *, Hyungjin Kim, Sungmin Hwang, Min-Hwi Kim, Yao-Feng Chang,, and Byung-Gook Park *, Inter-university Semiconductor

More information

Techniques for On-Chip Process Voltage and Temperature Detection and Compensation

Techniques for On-Chip Process Voltage and Temperature Detection and Compensation Techniques for On-Chip Process Voltage and Temperature Detection and Compensation Qadeer A. Khan 1, G.K. Siddhartha 2, Divya Tripathi 3, Sanjay Kumar Wadhwa 4, Kulbhushan Misri 5 Freescale Semiconductor

More information

Vertical Integration of MM-wave MMIC s and MEMS Antennas

Vertical Integration of MM-wave MMIC s and MEMS Antennas JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.3, SEPTEMBER, 2006 169 Vertical Integration of MM-wave MMIC s and MEMS Antennas Youngwoo Kwon, Yong-Kweon Kim, Sanghyo Lee, and Jung-Mu Kim Abstract

More information

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory MICRO RING MODULATOR Dae-hyun Kwon High-speed circuits and Systems Laboratory Paper preview Title of the paper Low Vpp, ultralow-energy, compact, high-speed silicon electro-optic modulator Publication

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:0.038/nature727 Table of Contents S. Power and Phase Management in the Nanophotonic Phased Array 3 S.2 Nanoantenna Design 6 S.3 Synthesis of Large-Scale Nanophotonic Phased

More information

Supporting Information

Supporting Information Copyright WILEY-VCH Verlag GmbH & Co. KGaA, 69469 Weinheim, Germany, 2016. Supporting Information for Adv. Healthcare Mater., DOI: 10.1002/adhm.201600222 Subdermal Flexible Solar Cell Arrays for Powering

More information

Samsung S5K3BAFB 2 Megapixel CMOS Image Sensor 0.13 µm Copper CMOS Process Process Review Report

Samsung S5K3BAFB 2 Megapixel CMOS Image Sensor 0.13 µm Copper CMOS Process Process Review Report October 13, 2006 Samsung S5K3BAFB 2 Megapixel CMOS Image Sensor 0.13 µm Copper CMOS Process Process Review Report (with Optional TEM Analysis) For comments, questions, or more information about this report,

More information

Fabrication of Probes for High Resolution Optical Microscopy

Fabrication of Probes for High Resolution Optical Microscopy Fabrication of Probes for High Resolution Optical Microscopy Physics 564 Applied Optics Professor Andrès La Rosa David Logan May 27, 2010 Abstract Near Field Scanning Optical Microscopy (NSOM) is a technique

More information

CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure. John Zacharkow

CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure. John Zacharkow CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure John Zacharkow Overview Introduction Background CMOS Review CMOL Breakdown Benefits/Shortcoming Looking into the Future Introduction

More information

Integration, Architecture, and Applications of 3D CMOS Memristor Circuits

Integration, Architecture, and Applications of 3D CMOS Memristor Circuits Integration, Architecture, and Applications of 3D CMOS Memristor Circuits K. T. Tim Cheng and Dimitri Strukov Univ. of California, Santa Barbara ISPD 2012 1 3D Hybrid CMOS/NANO add-on nanodevices layer

More information

REVISION #25, 12/12/2012

REVISION #25, 12/12/2012 HYPRES NIOBIUM INTEGRATED CIRCUIT FABRICATION PROCESS #03-10-45 DESIGN RULES REVISION #25, 12/12/2012 Direct all inquiries, questions, comments and suggestions concerning these design rules and/or HYPRES

More information

324 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 34, NO. 2, APRIL 2006

324 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 34, NO. 2, APRIL 2006 324 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 34, NO. 2, APRIL 2006 Experimental Observation of Temperature- Dependent Characteristics for Temporal Dark Boundary Image Sticking in 42-in AC-PDP Jin-Won

More information

Supplementary Figure 1. Schematics of conventional vdw stacking process. Thin layers of h-bn are used as bottom (a) and top (b) layer, respectively.

Supplementary Figure 1. Schematics of conventional vdw stacking process. Thin layers of h-bn are used as bottom (a) and top (b) layer, respectively. Supplementary Figure 1. Schematics of conventional vdw stacking process. Thin layers of h-bn are used as bottom (a) and top (b) layer, respectively. When the top layer is ultra thin, chances of having

More information

Design and Analysis of AlGaN/GaN MIS HEMTs with a Dual-metal-gate Structure

Design and Analysis of AlGaN/GaN MIS HEMTs with a Dual-metal-gate Structure JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.2.223 ISSN(Online) 2233-4866 Design and Analysis of AlGaN/GaN MIS HEMTs

More information

Novel SiC Junction Barrier Schottky Diode Structure for Efficiency Improvement of EV Inverter

Novel SiC Junction Barrier Schottky Diode Structure for Efficiency Improvement of EV Inverter EVS28 KINTEX, Korea, May 3-6, 2015 Novel SiC Junction Barrier Schottky iode Structure for Efficiency Improvement of EV Inverter ae Hwan Chun, Jong Seok Lee, Young Kyun Jung, Kyoung Kook Hong, Jung Hee

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

INVESTIGATION OF RESISTIVE SWITCHING AND CONDUCTION MECHANISMS IN OXIDE-BASED RRAM DEVICE FOR EMERGING NONVOLATILE MEMORY APPLICATIONS

INVESTIGATION OF RESISTIVE SWITCHING AND CONDUCTION MECHANISMS IN OXIDE-BASED RRAM DEVICE FOR EMERGING NONVOLATILE MEMORY APPLICATIONS INVESTIGATION OF RESISTIVE SWITCHING AND CONDUCTION MECHANISMS IN OXIDE-BASED RRAM DEVICE FOR EMERGING NONVOLATILE MEMORY APPLICATIONS FANG ZHENG SCHOOL OF ELECTRICAL & ELECTRONIC ENGINEERING NANYANG TECHNOLOGICAL

More information

Lasers Defect Correction in DRAM's Problem: very hard to make memory chips with no defects Memory chips have maximum density of devices Repeated

Lasers Defect Correction in DRAM's Problem: very hard to make memory chips with no defects Memory chips have maximum density of devices Repeated Lasers Defect Correction in DRAM's Problem: very hard to make memory chips with no defects Memory chips have maximum density of devices Repeated structures all substitutable Create spare rows and columns

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Room-temperature continuous-wave electrically injected InGaN-based laser directly grown on Si Authors: Yi Sun 1,2, Kun Zhou 1, Qian Sun 1 *, Jianping Liu 1, Meixin Feng 1, Zengcheng Li 1, Yu Zhou 1, Liqun

More information

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors Supplementary Information Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors J. A. Caraveo-Frescas and H. N. Alshareef* Materials Science and Engineering, King

More information

DESPITE their predominant position in the flat-panel largescreen

DESPITE their predominant position in the flat-panel largescreen IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 33, NO. 3, JUNE 2005 1053 Discharge Characteristics of Cross-Shaped Microdischarge Cells in ac-plasma Display Panel Bo-Sung Kim, Ki-Duck Cho, Heung-Sik Tae, Member,

More information

SPP waveguide sensors

SPP waveguide sensors SPP waveguide sensors 1. Optical sensor - Properties - Surface plasmon resonance sensor - Long-range surface plasmon-polariton sensor 2. LR-SPP waveguide - SPP properties in a waveguide - Asymmetric double-electrode

More information

Strip Detectors. Principal: Silicon strip detector. Ingrid--MariaGregor,SemiconductorsasParticleDetectors. metallization (Al) p +--strips

Strip Detectors. Principal: Silicon strip detector. Ingrid--MariaGregor,SemiconductorsasParticleDetectors. metallization (Al) p +--strips Strip Detectors First detector devices using the lithographic capabilities of microelectronics First Silicon detectors -- > strip detectors Can be found in all high energy physics experiments of the last

More information

System for Ultrahigh Density Storage Supporting. Information. and James M. Tour,ǁ, *

System for Ultrahigh Density Storage Supporting. Information. and James M. Tour,ǁ, * Three-Dimensional Networked Nanoporous Ta 2 O 5-x Memory System for Ultrahigh Density Storage Supporting Information Gunuk Wang,, Jae-Hwang Lee, Yang Yang, Gedeng Ruan, Nam Dong Kim, Yongsung Ji, and James

More information

EMBEDDED flash (eflash) memory technology has

EMBEDDED flash (eflash) memory technology has IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 11, NOVEMBER 2014 3737 A Comparative Study of Single-Poly Embedded Flash Memory Disturbance, Program/Erase Speed, Endurance, and Retention Characteristic

More information

Core Circuit Technologies for PN-Diode-Cell PRAM

Core Circuit Technologies for PN-Diode-Cell PRAM 128 HEE-BOK KANG et al : CORE CIRCUIT TECHNOLOGIES FOR PN-DIODE-CELL PRAM Core Circuit Technologies for PN-Diode-Cell PRAM Hee-Bok Kang*, Suk-Kyoung Hong*, Sung-Joo Hong*, Man Young Sung**, Bok-Gil Choi***,

More information

Kathy Wood 3/23/2007. ESD Sensitivity of TriQuint Texas Processes and Circuit Components

Kathy Wood 3/23/2007. ESD Sensitivity of TriQuint Texas Processes and Circuit Components ESD Sensitivity of TriQuint Texas Processes and Circuit Components GaAs semiconductor devices have a high sensitivity to Electrostatic Discharge (ESD) and care must be taken to prevent damage. This document

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Fully printable, strain-engineered electronic wrap for

Fully printable, strain-engineered electronic wrap for Supplementary Information Fully printable, strain-engineered electronic wrap for customizable soft electronics Junghwan Byun, Byeongmoon Lee, Eunho Oh, Hyunjong Kim, Sangwoo Km, Seunghwan Lee, and Yongtaek

More information

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process Jianqiang Lin, Xin Zhao, Tao Yu, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Structure-related Characteristics of SiGe HBT and 2.4 GHz Down-conversion Mixer

Structure-related Characteristics of SiGe HBT and 2.4 GHz Down-conversion Mixer 114 SANG-HEUNG LEE et al : STRUCTURE-RELATED CHARACTERISTICS OF SIGE HBT AND 2.4 GHZ DOWN-CONVERSION MIXER Structure-related Characteristics of SiGe HBT and 2.4 GHz Down-conversion Mixer Sang-Heung Lee,

More information

SUPPORTING INFORMATION

SUPPORTING INFORMATION SUPPORTING INFORMATION Surface-Guided CsPbBr 3 Perovskite Nanowires on Flat and Faceted Sapphire with Size-Dependent Photoluminescence and Fast Photoconductive Response Eitan Oksenberg, Ella Sanders, Ronit

More information

Dual Vivaldi UWB nanoantenna for optical applications

Dual Vivaldi UWB nanoantenna for optical applications Dual Vivaldi UWB nanoantenna for optical applications Zeev Iluz, Yuval Yifat, Doron Bar-Lev, Michal Eitan, Yoni Kantarovsky, Yuav Blue, Yael Hanein, Koby Scheuer, and Amir Boag School of Electrical Engineering

More information

EMI TEST REPORT. : FCC part 15 subpart B, Class B

EMI TEST REPORT. : FCC part 15 subpart B, Class B Page : 1 of 15 EMI TEST REPORT Test report No. : EMC- FCC- 0056 Type of equipment : Tablet PC Model No. : DocuNote B1660 FCC ID. : QVDDNB1660 Applicant : Cytas Co., Ltd. Test standards : FCC part 15 subpart

More information

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Figure 1 Veeco is driving System on a Chip Technology Frank M. Cumbo, Kurt E. Williams, John

More information

A Field-Emission Display with an Asymmetric Electrostatic-Quadrupole Lens Structure

A Field-Emission Display with an Asymmetric Electrostatic-Quadrupole Lens Structure Japanese Journal of Applied Physics Vol. 44, No. 12, 2005, pp. 8692 8697 #2005 The Japan Society of Applied Physics A Field-mission Display with an Asymmetric lectrostatic-quadrupole Lens Structure Tae

More information

High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template

High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template Supporting Information High throughput ultra-long (20cm) nanowire fabrication using a wafer-scale nanograting template Jeongho Yeon 1, Young Jae Lee 2, Dong Eun Yoo 3, Kyoung Jong Yoo 2, Jin Su Kim 2,

More information

CCD Analogy BUCKETS (PIXELS) HORIZONTAL CONVEYOR BELT (SERIAL REGISTER) VERTICAL CONVEYOR BELTS (CCD COLUMNS) RAIN (PHOTONS)

CCD Analogy BUCKETS (PIXELS) HORIZONTAL CONVEYOR BELT (SERIAL REGISTER) VERTICAL CONVEYOR BELTS (CCD COLUMNS) RAIN (PHOTONS) CCD Analogy RAIN (PHOTONS) VERTICAL CONVEYOR BELTS (CCD COLUMNS) BUCKETS (PIXELS) HORIZONTAL CONVEYOR BELT (SERIAL REGISTER) MEASURING CYLINDER (OUTPUT AMPLIFIER) Exposure finished, buckets now contain

More information

SoC Design Conference

SoC Design Conference 2 0 0 5 International Conference COEX Conference Center, Seoul, Korea October 20-21, 2005 I S O C C 2 0 0 5 I S O C C 2 International 0 0 5 http://www.isocc.org Conference Hosted by Technical Society IEEK

More information

Journal of Electron Devices, Vol. 20, 2014, pp

Journal of Electron Devices, Vol. 20, 2014, pp Journal of Electron Devices, Vol. 20, 2014, pp. 1786-1791 JED [ISSN: 1682-3427 ] ANALYSIS OF GIDL AND IMPACT IONIZATION WRITING METHODS IN 100nm SOI Z-DRAM Bhuwan Chandra Joshi, S. Intekhab Amin and R.

More information

A large-area wireless power transmission sheet using printed organic. transistors and plastic MEMS switches

A large-area wireless power transmission sheet using printed organic. transistors and plastic MEMS switches Supplementary Information A large-area wireless power transmission sheet using printed organic transistors and plastic MEMS switches Tsuyoshi Sekitani 1, Makoto Takamiya 2, Yoshiaki Noguchi 1, Shintaro

More information

Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture

Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture Cong Xu, Dimin Niu, Shimeng Yu, Yuan Xie, Pennsylvania State University, {czx102,dun118,yuanxie}@cse.psu.edu

More information

Switchable reflective lens based on cholesteric liquid crystal

Switchable reflective lens based on cholesteric liquid crystal Switchable reflective lens based on cholesteric liquid crystal Jae-Ho Lee, 1,3 Ji-Ho Beak, 2,3 Youngsik Kim, 2 You-Jin Lee, 1 Jae-Hoon Kim, 1,2 and Chang-Jae Yu 1,2,* 1 Department of Electronic Engineering,

More information

Variation-tolerant Non-volatile Ternary Content Addressable Memory with Magnetic Tunnel Junction

Variation-tolerant Non-volatile Ternary Content Addressable Memory with Magnetic Tunnel Junction JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.458 ISSN(Online) 2233-4866 Variation-tolerant Non-volatile Ternary

More information

Nanoscale Molecular-Switch Crossbar Circuits

Nanoscale Molecular-Switch Crossbar Circuits Nanoscale Molecular-Switch Crossbar Circuits Sung Hyun Jo Ph.D. Student, Dept. of Electrical Engineering & Computer Science Ken Loh Ph.D. Student, Dept. of Civil & Environmental Engineering EECS 598 Nanoelectronics

More information

Large Scale Silicon Photonic MEMS Switch

Large Scale Silicon Photonic MEMS Switch Large Scale Silicon Photonic MEMS Switch Sangyoon Han Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2015-40 http://www.eecs.berkeley.edu/pubs/techrpts/2015/eecs-2015-40.html

More information

Quasi-Phase-Matched Faraday Rotation in Semiconductor Waveguides with a Magneto-Optic Cladding for Monolithically Integrated Optical Isolators

Quasi-Phase-Matched Faraday Rotation in Semiconductor Waveguides with a Magneto-Optic Cladding for Monolithically Integrated Optical Isolators Quasi-Phase-Matched Faraday Rotation in Semiconductor Waveguides with a Magneto-Optic Cladding for Monolithically Integrated Optical Isolators Prof. David C. Hutchings, Barry M. Holmes and Cui Zhang, Acknowledgements

More information

Fundamentals of Power Semiconductor Devices

Fundamentals of Power Semiconductor Devices В. Jayant Baliga Fundamentals of Power Semiconductor Devices 4y Spri ringer Contents Preface vii Chapter 1 Introduction 1 1.1 Ideal and Typical Power Switching Waveforms 3 1.2 Ideal and Typical Power Device

More information