SoC Design Conference

Size: px
Start display at page:

Download "SoC Design Conference"

Transcription

1 International Conference COEX Conference Center, Seoul, Korea October 20-21, 2005 I S O C C I S O C C 2 International Conference Hosted by Technical Society IEEK (The Institute of Electronics Engineers of Korea) Organized by Semiconductor Society, IEEK IDEC (IC Design Education Center)

2 Friday, 21 October Room 321 Room 330 A, B Room 311 A, B Room 330 C Room 311 C Room 320 Lobby 08:00~09:00 Registration Session 10 Session 11 Session 12 Session 13 Session 14 09:30~10:50 10:50~11: :10~12:00 12:00~13:00 13:00~14:50 Tutorial Ⅲ David A Rusling (ARM Fellow) Invited Talk Ⅲ Martin D. F. Wong (University of lllinois) Session 15 Invited Talk Ⅳ Nobuyuki Nishiguchi(STARC) Display Driver and lmaging Devices SoC Testing and Verification Ⅱ Methodology Ⅳ Tutorial Ⅳ Hyun-Kyu Yu (ETRI) RF, Analog & Mixedl-Signal Circuit Ⅱ Keynote Speech Ⅲ Sung-Mo Kang (University of California, Lunch MEMS Embedded Memory Signal Intergrity and Interconnect Modeling (Room 321) Santa Cruz) Session 16 Session 17 Session 18 Video/Audio Signal Processing Ⅲ High Speed Signal Interface Chip Design Contest Session (10:00~16:50) Poster Session B (13:00~16:00) 14:50~15:10 Session 19 Session 20 Session 21 15:10~16:50 RF, Analog & Mixed-Signal Circuit Ⅲ Communication and Network Processor Methodology Ⅴ Low Power Design Techniques Ⅱ 16:50~17:10 Closing Ceremony (Room 321)

3 University, *Power Telecommunication Network Group, Korea Electrotechnology Research Institute Jaewon Cha, Euncheol Lee, Kyosun Kim, Department of Electronic Engineering, University of Incheon 60 Interpolating-Gated-Oscillator CDR Du-ho Kim, Pyung-su Han, Woo-Young Choi, Department of Electrical and Electronic Engineering, Yonsei University A 1.25Gb/s Clock and Data Recovery Circuit for Multi-channel Application Chang-kyung Seong, Seung-woo Lee*, Wooyoung Choi, Department of Electrical and Electronic Engineering, Yonsei University, Switching Technology Team, Electronics and Telecommunications Research Institute SMART7F : A Reusable Design of 32-bit RISC Core for Embedded Applications Dong-hoon Yang, Seung-ho Kwak, Moon-key Lee, Department of Electronic Engineering, Yonsei University A Digital 120Mb/s MIMO-OFDM Baseband Processor for High Speed Wireless LANs Yunho Jung, Jiho Kim, Seungpyo Noh, Seongjoo Lee, Jaeseok Kim, Department of Electrical and Electronic Engineering, Yonsei University A 6-bit(3+3) segmented Current-Steering CMOS D/A Converter for UWB Tae-kyu Nam, Sang-wook Park, Sung-min Ha, Sung-wook Seo, Kwang-sub Yoon, Department of Electronic Engineering, Inha University VLSI Implementation of Multilevel Lifting based Discrete Wavelet Transform for JPEG2000 Gab Cheon Jung, Hyoung Jin Moon, Hong Bum Son, Seong Mo Park, Department of Electronics Engineering, Chonnam National University Radix-2 to the 4th Power 1024 Point Pipeline FFT Processor Using a Data Scaling Approach Jung-Yeol Oh*, Eun-Kwang Ryu, Sun-Ah Hong, Myoung-Seob Lim, Division of Electronics and Information Engineering, Chonbuk National University, *Electronics and Telecommunications Research Institute A Crypto-Processor for Security PDA Systems Jinsub Park, Yeonsang Yun, Seungyoul Kim, Young-Dae Kim, Younggap You, School of ECE, CBNU 61 ASK modulator and Antenna driver for 13.56MHz RFID Interrogators Jung-Hyun Cho, Kyung-Won Min, Haksu Kim*, Shiho Kim*, School of Electronics and Electrical Engineering, Wonkwang University, *School of Electrical and Computer Engineering, Chungbuk National University A 32/16 Multi-Phase Delay-Locked Loop for DVD Application Hyungjoon Chi, Seungjun Bae, Hongjune Park, Jihyun Kim*, Jaeyup Lee*, Heesub Lee*, Department Electronics Engineering, Pohang University of Science and Technology, *DMA The Fastest Single-Layer Robust QCA Adder * indicates a best paper award candidate

4 Interpolating-Gated-Oscillator CDR Abstract If there is the duty cycle distortion, the performance of the burst-mode link using clock and data recovery circuit (CDR) based on gated-oscillators is seriously degraded by the duty cycle distortion. In this paper, we demonstrate a novel gated- oscillator CDR structure which can eliminate the effect of the duty cycle distortion. Keywords: burst-mode CDR, duty cycle distortion, gated oscillator, phase interpolator. 1 Introduction The clock recovery circuit (CDR) based on the gated oscillator(go-cdr[1]) is used in burst-mode applications for its instantaneous locking capability. Commonly used CDRs using tracking algorithm (e.g. PLL) are not suitable for the burst mode applications, because the tracking time is usually too long. Suppose that there is the duty cycle distortion in input data as shown in Fig. 1. The duty cycle distortion is transferred to the recovered clock as shown in Fig. 1. Such clock signals cannot be used in other signal processing blocks. In addition, receiver BER can increase since sampling points (falling edges in clock for Fig. 1) for data retiming are not placed at the center of the data bit. Consequently, additional circuit techniques that can compensate this distortion must be considered. 2 IG-CDR The full structure of the proposed CDR is shown in Fig. 2. The interpolating-gated-oscillator-based CDR(IG- CDR) uses two outputs of the reset signal generator[2] as the enable signal for each gated oscillator and the final Du-ho Kim, Pyung-su Han and Woo-Young Choi Department of Electrical and Electronic Engineering Yonsei University Seoul, Korea kimdor@yonsei.ac.kr clock is realized with a half phase interpolator instead of an OR gate. Fig. 3 shows the schematic waveform of IG-CDR. The first gated oscillator resets its phase at the rising edge of the input data, and the second gated oscillator resets its phase at the falling edge of the input data. Then, the half phase interpolator sums them. This new clock is not distorted by duty cycle distortion, and the BER doesn t increases because the sampling point (the rising edge of the recovered clock in this figure) is placed in the center of the data bit. GO-CDR doesn t need pre-amble because it always resets the phase at all data transitions. But IG-CDR needs the rising edge and the falling edge to reset the phase of each gated oscillator. So, IG-CDR needs two pre-amble bits, e.g Figure 2. Block diagram for IG-CDR Figure 1. Effect of duty cycle distortion Figure 3. Operation of IG-CDR * This work was supported by IDEC, Hynix 0.35 μm and the Ministry of Science and Technology of Korea and the Ministry of Commerce, Industry and Energy through the System IC 2010 program

5 3 Measurement Results With the fabricated chip, the maximum duty cycle distortion immunity was measured as 32% as shown in Fig. 4. The burst-mode operation is also confirmed in Fig. 5. After two preamble bits (1 0) to reset two gated oscillators, IG-CDR starts to recover data. The area of CDR core is 0.45mm 0.32mm. The power consumption is 141.9mW. The performance of this chip is summarized in Table. 1. Parameter Process Operating Range Maximum Duty Cycle Distortion Immunity Power Consumption Area Value 0.35 μm 400~880Mb/s 32% 141.9mW (CDR core only) 0.45mm 0.32mm (CDR core only) Table 1. Performance of fabricated chip Figure 4. Experiment results; eye diagrams of input data with duty cycle 32% and retimed 622Mb/s 4 Conclusions The duty cycle distortion occurs in burst-mode optical receivers, because the automatic threshold control block cannot work perfectly. If the gated oscillator based CDR is used, duty cycle distortion affects recovered clock and data directly, resulting in degraded system performance. A new CDR called IG-CDR is demonstrated, which is robust to duty cycle distortion. Measurement results confirm robustness up to 32% duty-cycle distortion at 622Mbps. References [1] M. Banu and A. E. Dunlop, Clock Recovery Circuit with Instantaneous Locking, Electronic Letters, vol.28, No. 23, pp , November, 1992 [2] Yu-Gun KIM, et al., Novel 622 Mb/s Burst-Mode Clock and Data Recovery Circuits with Muxed Oscillators, IEICE Transactions on Communications, vol.e86-b, No.11, pp , Nov Figure 5. Experiment results; burst-mode 622Mb/s

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

Time Table International SoC Design Conference

Time Table International SoC Design Conference 04 International SoC Design Conference Time Table A Analog and Mixed-Signal Techniques I DV Digital Circuits and VLSI Architectures ET Emerging technology LP Power Electronics / Energy Harvesting Circuits

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

A High-Resolution Dual-Loop Digital DLL

A High-Resolution Dual-Loop Digital DLL JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 216 ISSN(Print) 1598-1657 http://dx.doi.org/1.5573/jsts.216.16.4.52 ISSN(Online) 2233-4866 A High-Resolution Dual-Loop Digital DLL

More information

Tae-Kwang Jang. Electrical Engineering, University of Michigan

Tae-Kwang Jang. Electrical Engineering, University of Michigan Education Tae-Kwang Jang Electrical Engineering, University of Michigan E-Mail: tkjang@umich.edu Ph.D. in Electrical Engineering, University of Michigan September 2013 November 2017 Dissertation title:

More information

VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems

VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.3, SEPTEMBER, 2010 185 VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems Jongmin Cho*, Jinsang

More information

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Nitin Kumar #1, Manoj Kumar *2 # Ganga Institute of Technology & Management 1 nitinkumarvlsi@gmail.com * Guru Jambheshwar University of Science

More information

Section Student Paper Contest 2007

Section Student Paper Contest 2007 Section Student Paper Contest 2007 December, 8 (Sat), 2007 Yonsei University Engineering Building 2 B039 Conference Site Map: Sinchon Campus, Yonsei University Technical Program Committee Jong Chang Yi

More information

2015 년 2 월 10 일 ( 화 )-12 일 ( 목 ), 인천송도컨벤시아. Chip Design Contest Room K ( )

2015 년 2 월 10 일 ( 화 )-12 일 ( 목 ), 인천송도컨벤시아. Chip Design Contest Room K ( ) Chip Design Contest Room K (102+103) 2015 년 2 월 11 일 ( 수 ) 09:00-17:20 CDC001 CDC002 CDC003 CDC004 CDC005 CDC006 CDC007 CDC008 Comparison between CMOS and Nano-Electromechanical (NEM) Switches Yong Jun

More information

An ultra-low power BPSK demodulator with dual band filtering for implantable biomedical devices

An ultra-low power BPSK demodulator with dual band filtering for implantable biomedical devices LETTER IEICE Electronics Express, Vol.10, No.7, 1 5 An ultra-low power BPSK demodulator with dual band filtering for implantable biomedical devices Benjamin P. Wilkerson, Joon-Hyup Seo, Jin-Cheol Seo,

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

14:40 ~ 15:00 Coffee Break

14:40 ~ 15:00 Coffee Break 13:00 ~ 13:20 TMW : uplicated Transition Monitoring Window for Low Power Test based on Pseudo- Random BIST Youbean Kim, ongsup Song, Kicheol Kim, Incheol Kim, Sungho Kang, Yonsei Universiry 13:20 ~ 13:40

More information

2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER /$ IEEE

2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER /$ IEEE 2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER 2008 A 622-Mb/s Mixed-Mode BPSK Demodulator Using a Half-Rate Bang-Bang Phase Detector Duho Kim, Student Member, IEEE, Kwang-chun Choi,

More information

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation 196 LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation Ching-Yuan YANG a), Member and Jung-Mao LIN, Nonmember SUMMARY In this letter, a 1.25-Gb/s 0.18-µm

More information

A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of Dividing Ratio

A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of Dividing Ratio http://dx.doi.org/10.5573/jsts.2012.12.1.10 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.1, MARCH, 2012 A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of

More information

Design of Dual Mode DC-DC Buck Converter Using Segmented Output Stage

Design of Dual Mode DC-DC Buck Converter Using Segmented Output Stage Design of Dual Mode DC-DC Buck Converter Using Segmented Output Stage Bo-Kyeong Kim, Young-Ho Shin, Jin-Won Kim, and Ho-Yong Choi a Department of Semiconductor Engineering, Chungbuk National University

More information

Simple odd number frequency divider with 50% duty cycle

Simple odd number frequency divider with 50% duty cycle Simple odd number frequency divider with 50% duty cycle Sangjin Byun 1a), Chung Hwan Son 1, and Jae Joon Kim 2 1 Div. Electronics and Electrical Engineering, Dongguk University - Seoul 26 Pil-dong 3-ga,

More information

Magnetic Resonant Coupling Based Wireless Power Transfer System with In-Band Communication

Magnetic Resonant Coupling Based Wireless Power Transfer System with In-Band Communication http://dx.doi.org/10.5573/jsts.2013.13.6.562 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.6, DECEMBER, 2013 Magnetic Resonant Coupling Based Wireless Power Transfer System with In-Band Communication

More information

Power (mw) DNL/INL (LSB) 200k / / /

Power (mw) DNL/INL (LSB) 200k / / / 동부하이텍공정 IP LIST 2010. 07. 25 서강대학교집적회로설계연구실 IP fsample (MS/s) VDD (V) Power (mw) / (LSB) Area (mm 2 ) Process (um) Comments [1] 12-bit ADC [2] 12-bit ADC [3] 10-bit ADC [4] 15-bit ADC [5] 13-bit ADC 200k

More information

Readout Circuit Based on Differential Offset Cancellation Technique for Projected Mutual-Capacitance Large Touch Screens

Readout Circuit Based on Differential Offset Cancellation Technique for Projected Mutual-Capacitance Large Touch Screens Readout Circuit Based on Differential Offset Cancellation Technique for Projected Mutual-Capacitance Large Touch Screens A. N. Ragheb 1, HoJin Kang 2, KyoungHan Park 3, DongMin Won 4, and HyungWon Kim

More information

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.4.552 ISSN(Online) 2233-4866 A 1.5 Gbps Transceiver Chipset in 0.13-mm

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Techniques for On-Chip Process Voltage and Temperature Detection and Compensation

Techniques for On-Chip Process Voltage and Temperature Detection and Compensation Techniques for On-Chip Process Voltage and Temperature Detection and Compensation Qadeer A. Khan 1, G.K. Siddhartha 2, Divya Tripathi 3, Sanjay Kumar Wadhwa 4, Kulbhushan Misri 5 Freescale Semiconductor

More information

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator Journal of the Korean Physical Society, Vol. 37, No. 6, December 2000, pp. 803 807 Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator Yeon Kug Moon Korea Advanced

More information

A Local-Dimming LED BLU Driving Circuit for a 42-inch LCD TV

A Local-Dimming LED BLU Driving Circuit for a 42-inch LCD TV A Local-Dimming LED BLU Driving Circuit for a 42-inch LCD TV Yu-Cheol Park 1, Hee-Jun Kim 2, Back-Haeng Lee 2, Dong-Hyun Shin 3 1 Yu-Cheol Park Intelligent Vehicle Technology R&D Center, KATECH, Korea

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications

A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications LETTER IEICE Electronics Express, Vol.10, No.10, 1 7 A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications June-Hee Lee 1, 2, Sang-Hoon Kim

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

Amplitude and Phase Stability of Analog Components for the LLRF System of the PEFP Accelerator

Amplitude and Phase Stability of Analog Components for the LLRF System of the PEFP Accelerator Journal of the Korean Physical Society, Vol. 52, No. 3, March 2008, pp. 766770 Amplitude and Phase Stability of Analog Components for the LLRF System of the PEFP Accelerator Kyung-Tae Seol, Hyeok-Jung

More information

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor 1472 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 62, NO. 6, JUNE 2015 A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in

More information

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.6.825 ISSN(Online) 2233-4866 An All-digital Delay-locked Loop using

More information

Characteristics of InP HEMT Harmonic Optoelectronic Mixers and Their Application to 60GHz Radio-on-Fiber Systems

Characteristics of InP HEMT Harmonic Optoelectronic Mixers and Their Application to 60GHz Radio-on-Fiber Systems . TU6D-1 Characteristics of Harmonic Optoelectronic Mixers and Their Application to 6GHz Radio-on-Fiber Systems Chang-Soon Choi 1, Hyo-Soon Kang 1, Dae-Hyun Kim 2, Kwang-Seok Seo 2 and Woo-Young Choi 1

More information

SSCG with Hershey-Kiss modulation profile using Dual Sigma-Delta modulators

SSCG with Hershey-Kiss modulation profile using Dual Sigma-Delta modulators SSCG with Hershey-Kiss modulation profile using Dual Sigma-Delta modulators Hyung-Min Park, Hyun-Bae Jin, and Jin-Ku Kang a) School of Electronics Engineering, Inha University 253 Yonghyun-dong, Nam-Gu,

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

Resume. Research Experience Research assistant of electron-beam lithography system in inter-university semiconductor research center SNU)

Resume. Research Experience Research assistant of electron-beam lithography system in inter-university semiconductor research center SNU) Resume Updated at Aug-08-2005 Name Kyung Rok Kim Date & place of birth Born on February 14, 1976 in Seoul, Republic of KOREA Present occupation Post-Doctoral Researcher Office address Room CISX-302, Center

More information

Design of Wireless Transceiver in 0.18um CMOS Technology for LoRa application

Design of Wireless Transceiver in 0.18um CMOS Technology for LoRa application Design of Wireless Transceiver in 0.18um CMOS Technology for LoRa application Yoonki Lee 1, Jiyong Yoon and Youngsik Kim a Department of Information and Communication Engineering, Handong University E-mail:

More information

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip B. Janani, N.Arunpriya B.E, Dept. of Electronics and Communication Engineering, Panimalar Engineering College/ Anna

More information

H/V linear regulator with enhanced power supply rejection

H/V linear regulator with enhanced power supply rejection LETTER IEICE Electronics Express, Vol., No.3, 9 H/V linear regulator with enhanced power supply rejection Youngil Kim a) and Sangsun Lee b) Department of Electronics Computer Engineering, Hanyang University,

More information

Wide frequency range duty cycle correction circuit for DDR interface

Wide frequency range duty cycle correction circuit for DDR interface Wide frequency range duty cycle correction circuit for DDR interface Dongsuk Shin a), Soo-Won Kim, and Chulwoo Kim b) Dept. of Electronics and Computer Engineering, Korea University, Anam-dong, Seongbuk-Gu,

More information

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, OL.13, NO.5, OCTOBER, 2013 http://dx.doi.org/10.5573/jsts.2013.13.5.459 A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier Geontae

More information

An Analog Front-End Circuit for ISO/IEC Compatible RFID Interrogators

An Analog Front-End Circuit for ISO/IEC Compatible RFID Interrogators An Analog FrontEnd Circuit for ISO/IEC 14443Compatible RFID Interrogators KyungWon Min, SukByung Chai, and Shiho Kim An analog frontend circuit for ISO/IEC 14443 compatible radio frequency identification

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

LED Backlight Driving Circuits and Dimming Method

LED Backlight Driving Circuits and Dimming Method Journal of Information Display, Vol. 11, No. 4, December 2010 (ISSN 1598-0316/eISSN 2158-1606) 2010 KIDS LED Backlight Driving Circuits and Dimming Method Oh-Kyong Kwon*, Young-Ho Jung, Yong-Hak Lee, Hyun-Suk

More information

Structure-related Characteristics of SiGe HBT and 2.4 GHz Down-conversion Mixer

Structure-related Characteristics of SiGe HBT and 2.4 GHz Down-conversion Mixer 114 SANG-HEUNG LEE et al : STRUCTURE-RELATED CHARACTERISTICS OF SIGE HBT AND 2.4 GHZ DOWN-CONVERSION MIXER Structure-related Characteristics of SiGe HBT and 2.4 GHz Down-conversion Mixer Sang-Heung Lee,

More information

Available online at ScienceDirect. Procedia Computer Science 57 (2015 )

Available online at  ScienceDirect. Procedia Computer Science 57 (2015 ) Available online at www.sciencedirect.com Scienceirect Procedia Computer Science 57 (2015 ) 1081 1087 3rd International Conference on ecent Trends in Computing 2015 (ICTC-2015) Analysis of Low Power and

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link 1 A 0.18µm CMOS 3.125-Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link Ki-Hyuk Lee, Jae-Wook Lee nonmembers and Woo-Young Choi regular member

More information

A Partially Operated FFT/IFFT Processor for Low Complexity OFDM Modulation and Demodulation of WiBro In-car Entertainment System

A Partially Operated FFT/IFFT Processor for Low Complexity OFDM Modulation and Demodulation of WiBro In-car Entertainment System D.-S. Kim et al.: A Partially Operated FFT/IFFT Processor for Low Complexity OFDM Modulation and Demodulation of WiBro In-car Entertainment System A Partially Operated FFT/IFFT Processor for Low Complexity

More information

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system Indian Journal of Engineering & Materials Sciences Vol. 17, February 2010, pp. 34-38 Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system Bhanu

More information

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase

More information

A GHz Dual-Loop SAR-controlled Duty-Cycle Corrector Using a Mixed Search Algorithm

A GHz Dual-Loop SAR-controlled Duty-Cycle Corrector Using a Mixed Search Algorithm http://dx.doi.org/10.5573/jsts.2013.13.2.152 JURNAL F SEMICNDUCTR TECHNLGY AND SCIENCE, VL.13, N.2, APRIL, 2013 A 0.5 2.0 GHz DualLoop SARcontrolled DutyCycle Corrector Using a Mixed Search Algorithm Sangwoo

More information

Lecture 1, Introduction and Background

Lecture 1, Introduction and Background EE 338L CMOS Analog Integrated Circuit Design Lecture 1, Introduction and Background With the advances of VLSI (very large scale integration) technology, digital signal processing is proliferating and

More information

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Duo Sheng 1a), Ching-Che Chung 2,andChen-YiLee 1 1 Department of Electronics Engineering & Institute of

More information

IMPLEMENTATION OF SOFTWARE-BASED 2X2 MIMO LTE BASE STATION SYSTEM USING GPU

IMPLEMENTATION OF SOFTWARE-BASED 2X2 MIMO LTE BASE STATION SYSTEM USING GPU IMPLEMENTATION OF SOFTWARE-BASED 2X2 MIMO LTE BASE STATION SYSTEM USING GPU Seunghak Lee (HY-SDR Research Center, Hanyang Univ., Seoul, South Korea; invincible@dsplab.hanyang.ac.kr); Chiyoung Ahn (HY-SDR

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the International Conference on Emerging Trends in Engineering and Management (ICETEM14) ISSN 0976

More information

Design of a 3rd order Delta-Sigma Modulator with a Frequency Detection Circuit

Design of a 3rd order Delta-Sigma Modulator with a Frequency Detection Circuit Design of a 3rd order Delta-Sigma Modulator with a Frequency Detection Circuit Han-Ul Lee 1, Keon Lee 1, Dai Shi 1, Dong-Hun Lee 1, Kwang-Sub Yoon 1, 1 Department of Electronic Engineering, Inha University,

More information

DESIGNING A NEW RING OSCILLATOR FOR HIGH PERFORMANCE APPLICATIONS IN 65nm CMOS TECHNOLOGY

DESIGNING A NEW RING OSCILLATOR FOR HIGH PERFORMANCE APPLICATIONS IN 65nm CMOS TECHNOLOGY DESIGNING A NEW RING OSCILLATOR FOR HIGH PERFORMANCE APPLICATIONS IN 65nm CMOS TECHNOLOGY *Yusuf Jameh Bozorg and Mohammad Jafar Taghizadeh Marvast Department of Electrical Engineering, Mehriz Branch,

More information

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation 2518 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 59, NO. 11, NOVEMBER 2012 A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise

More information

150 kj Compact Capacitive Pulsed Power System for an Electrothermal Chemical Gun

150 kj Compact Capacitive Pulsed Power System for an Electrothermal Chemical Gun J Electr Eng Technol Vol. 7, No. 6: 971-976, 2012 http://dx.doi.org/10.5370/jeet.2012.7.6.971 ISSN(Print) 1975-0102 ISSN(Online) 2093-7423 150 kj Compact Capacitive Pulsed Power System for an Electrothermal

More information

Design and Measurement of Oscillator Built in a PWM IC for the Flyback Converter

Design and Measurement of Oscillator Built in a PWM IC for the Flyback Converter Design and Measurement of Oscillator Built in a PWM IC for the Flyback Converter Min-Sung Kim 1, Hyoung-Woo Kim 2, Kil-Soo Seo 2, Nam-Kyun Kim 2, Pan-Bong Ha 1 and Young-Hee Kim 1 1 Changwon National University,

More information

An Efficient LSDM Lighting Control Logic Design for a Lighting Control System

An Efficient LSDM Lighting Control Logic Design for a Lighting Control System ICACT Transactions on Advanced Communications Technology (TACT) Vol. 3, Issue 4, July 2014 494 An Efficient LSDM Lighting Control Logic Design for a Lighting Control System Sung-IL Hong, Chi-Ho Lin Schools

More information

Delay-based clock generator with edge transmission and reset

Delay-based clock generator with edge transmission and reset LETTER IEICE Electronics Express, Vol.11, No.15, 1 8 Delay-based clock generator with edge transmission and reset Hyunsun Mo and Daejeong Kim a) Department of Electronics Engineering, Graduate School,

More information

THE KEY TO RESPONSIBLE HIGH-PERFORMING SOCIETY

THE KEY TO RESPONSIBLE HIGH-PERFORMING SOCIETY THE DRUCKER SOLUTION THE KEY TO RESPONSIBLE HIGH-PERFORMING SOCIETY 2009 Drucker Centennial Conference Date June 16~17, 2009 Venue The Korea Chamber of Commerce & Industry Hosted by The Peter F. Drucker

More information

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract , pp.17-22 http://dx.doi.org/10.14257/ijunesst.2016.9.8.02 A 12-bit 100kS/s SAR ADC for Biomedical Applications Sung-Chan Rho 1 and Shin-Il Lim 2 1 Department of Electronics and Computer Engineering, Seokyeong

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 2, FEBRUARY A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 2, FEBRUARY A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 2, FEBRUARY 2006 425 A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up Jae-Youl Lee, Member, IEEE, Sung-Eun Kim, Student Member, IEEE,

More information

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology Ching-Che Chung 1a), Duo Sheng 2, and Sung-En Shen 1 1 Department of Computer Science & Information

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

A Design of 8.5 GHz META-VCO based-on Meta-material using 65 nm CMOS Process

A Design of 8.5 GHz META-VCO based-on Meta-material using 65 nm CMOS Process JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.5, OCTOBER, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.5.535 ISSN(Online) 2233-4866 A Design of 8.5 GHz META-VCO based-on

More information

IN RECENT years, the phase-locked loop (PLL) has been a

IN RECENT years, the phase-locked loop (PLL) has been a 430 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 6, JUNE 2010 A Two-Cycle Lock-In Time ADPLL Design Based on a Frequency Estimation Algorithm Chia-Tsun Wu, Wen-Chung Shen,

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

A Study on Distributed and Concentric Winding of Permanent Magnet Brushless AC Motor

A Study on Distributed and Concentric Winding of Permanent Magnet Brushless AC Motor Volume 118 No. 19 2018, 1805-1815 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu A Study on Distributed and Concentric Winding of Permanent Magnet

More information

DLL Based Frequency Multiplier

DLL Based Frequency Multiplier DLL Based Frequency Multiplier Final Project Report VLSI Chip Design Project Project Group 4 Version 1.0 Status Reviewed Approved Ameya Bhide Ameya Bhide TSEK06 VLSI Design Project 1 of 29 Group 4 PROJECT

More information

IMPLEMENTATION OF A DIGITAL IF TRANSCEIVER FOR SDR-BASED WIMAX BASE STATION

IMPLEMENTATION OF A DIGITAL IF TRANSCEIVER FOR SDR-BASED WIMAX BASE STATION IMPLEMENTATION OF A DIGITAL IF TRANSCEIVER FOR SDR-BASED WIMAX BASE STATION Bong-Guk Yu (Electronics and Telecommunications Research Institute(ETRI), Daejeon, Korea; bgyu2@etri.re.kr); Jin-Up Kim(ETRI,

More information

Design and verification of internal core circuit of FlexRay transceiver in the ADAS

Design and verification of internal core circuit of FlexRay transceiver in the ADAS Design and verification of internal core circuit of FlexRay transceiver in the ADAS Yui-Hwan Sa 1 and Hyeong-Woo Cha a Department of Electronic Engineering, Cheongju University E-mail : labiss1405@naver.com,

More information

Design of 2.4 GHz Oscillators In CMOS Technology

Design of 2.4 GHz Oscillators In CMOS Technology Design of 2.4 GHz Oscillators In CMOS Technology Mr. Pravin Bodade Department of electronics engineering Priyadarshini College of engineering Nagpur, India prbodade@gmail.com Ms. Divya Meshram Department

More information

MTCMOS Post-Mask Performance Enhancement

MTCMOS Post-Mask Performance Enhancement JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.4, NO.4, DECEMBER, 2004 263 MTCMOS Post-Mask Performance Enhancement Kyosun Kim*, Hyo-Sig Won**, and Kwang-Ok Jeong** Abstract In this paper, we motivate

More information

Kid s Classic. Tan Tan. International Sales & Foreign Rignts

Kid s Classic. Tan Tan. International Sales & Foreign Rignts Tan Tan Kid s Classic 1420-6 Seocho-dong Seocho-gu, Seoul, Korea TEL : 82-2-523-6660 FAX : 82-2-588-8060 www.tantani.com International Sales & Foreign Rignts http://thechoicemaker.tistory.com Phone : +82

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

A 1.25 GHz Low Power Multi-phase PLL Using Phase Interpolation between Two Complementary Clocks

A 1.25 GHz Low Power Multi-phase PLL Using Phase Interpolation between Two Complementary Clocks JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.6, DECEMBER, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.6.594 ISSN(Online) 2233-4866 A 1.25 GHz Low Power Multi-phase

More information

Design and Characterization of a 10 Gb/s Clock and Data Recovery Circuit Implemented with Phase-Locked Loop

Design and Characterization of a 10 Gb/s Clock and Data Recovery Circuit Implemented with Phase-Locked Loop Design and Characterization of a Clock and Recovery Implemented with -Locked Loop Jae Ho Song a), Tae Whan Yoo, Jeong Hoon Ko, Chang Soo Park, and Jae Keun Kim A clock and data recovery circuit with a

More information

324 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 34, NO. 2, APRIL 2006

324 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 34, NO. 2, APRIL 2006 324 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 34, NO. 2, APRIL 2006 Experimental Observation of Temperature- Dependent Characteristics for Temporal Dark Boundary Image Sticking in 42-in AC-PDP Jin-Won

More information

Implementation of a Current-to-voltage Converter with a Wide Dynamic Range

Implementation of a Current-to-voltage Converter with a Wide Dynamic Range Journal of the Korean Physical Society, Vol. 56, No. 3, March 2010, pp. 863 867 Implementation of a Current-to-voltage Converter with a Wide Dynamic Range Jae-Hyoun Park and Hyung-Do Yoon Korea Electronics

More information

MYUNGHWAN PARK Westchester Park Drive, APT 1510, College Park, Maryland MOBILE : (+1) ,

MYUNGHWAN PARK Westchester Park Drive, APT 1510, College Park, Maryland MOBILE : (+1) , RESEARCH INTERESTS MYUNGHWAN PARK 6200 Westchester Park Drive, APT 1510, College Park, Maryland 20740 MOBILE : (+1) 240-678-9863, EMAIL : mhpark@umd.edu My overall research interest is the physics of integrated

More information

A Study on the Characteristics of a Temperature Sensor with an Improved Ring Oscillator

A Study on the Characteristics of a Temperature Sensor with an Improved Ring Oscillator Proceedings of the World Congress on Electrical Engineering and Computer Systems and Science (EECSS 2015) Barcelona, Spain July 13-14, 2015 Paper No. 137 A Study on the Characteristics of a Temperature

More information

A Novel Sine Wave Based UWB Pulse Generator Design for Single/Multi-User Systems

A Novel Sine Wave Based UWB Pulse Generator Design for Single/Multi-User Systems Research Journal of Applied Sciences, Engineering and Technology 4(23): 5243-5247, 2012 ISSN: 2040-7467 Maxwell Scientific Organization, 2012 Submitted: May 04, 2012 Accepted: May 22, 2012 Published: December

More information

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control Sooho Cha, Chunseok Jeong, and Changsik Yoo A phase-locked loop (PLL) is described which is operable from 0.4 GHz to 1.2

More information

THE DEMANDS of a high-bandwidth dynamic random access

THE DEMANDS of a high-bandwidth dynamic random access 422 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 7, JULY 2011 Clock- and Data-Recovery Circuit With Independently Controlled Eye-Tracking Loop for High-Speed Graphic DRAMs

More information

PAPER A High-Speed Two-Parallel Radix-2 4 FFT/IFFT Processor for MB-OFDM UWB Systems

PAPER A High-Speed Two-Parallel Radix-2 4 FFT/IFFT Processor for MB-OFDM UWB Systems 1206 IEICE TRAS. FUDAMETALS, VOL.E91 A, O.4 APRIL 2008 PAPER A High-Speed Two-Parallel Radix-2 4 FFT/IFFT Processor for MB-OFDM UWB Systems Jeesung LEE, onmember and Hanho LEE a), Member SUMMARY This paper

More information

4H-SiC Planar MESFET for Microwave Power Device Applications

4H-SiC Planar MESFET for Microwave Power Device Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.5, NO.2, JUNE, 2005 113 4H-SiC Planar MESFET for Microwave Power Device Applications Hoon Joo Na*, Sang Yong Jung*, Jeong Hyun Moon*, Jeong Hyuk Yim*,

More information

Frequency Spectrum Analysis of Electromagnetic Waves Radiated by

Frequency Spectrum Analysis of Electromagnetic Waves Radiated by Frequency Spectrum Analysis of Electromagnetic Waves Radiated by Electrical Discharges HYEON-KYU CHA, SUN-JAE KIM, DAE-WON PARK, GYUNG-SUK KIL Division of Electrical and Electronics Engineering Korea Maritime

More information

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec.

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec. MS Thesis esign and Implementation of High-Speed CMOS Clock and ata Recovery Circuit for Optical Interconnection Applications Seong-Jun Song ec. 20, 2002 oratory, epartment of Electrical Engineering and

More information

Baccarat Magazine Ms. Karen Ting replaced Mr. Jill Triptree as Editor-In-Chief Ms. Venus Chan joined as Editorial Coordinator

Baccarat Magazine Ms. Karen Ting replaced Mr. Jill Triptree as Editor-In-Chief Ms. Venus Chan joined as Editorial Coordinator PR Newswire s Media Research Department makes thousands of updates to the database of journalists and bloggers that underpins our Agility media targeting and distribution platform. Below is a sampling

More information

Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier

Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier Jaehyuk Yoon* (corresponding author) School of Electronic Engineering, College of Information Technology,

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 2016 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2016.16.6.842 ISSN(Online) 2233-4866 A 82.5% Power Efficiency at 1.2 mw

More information

Design and Analysis of Novel Compact Inductor Resonator Filter

Design and Analysis of Novel Compact Inductor Resonator Filter Design and Analysis of Novel Compact Inductor Resonator Filter Gye-An Lee 1, Mohamed Megahed 2, and Franco De Flaviis 1. 1 Department of Electrical and Computer Engineering University of California, Irvine

More information

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS 2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS November 30 - December 3, 2008 Venetian Macao Resort-Hotel Macao, China IEEE Catalog Number: CFP08APC-USB ISBN: 978-1-4244-2342-2 Library of Congress:

More information

A Spread Spectrum Clock Generator for DisplayPort 1.2 with a Hershey-Kiss Modulation Profile

A Spread Spectrum Clock Generator for DisplayPort 1.2 with a Hershey-Kiss Modulation Profile http://dx.doi.org/10.5573/jsts.2013.13.4.282 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.4, AUGUST, 2013 A Spread Spectrum Clock Generator for DisplayPort 1.2 with a Hershey-Kiss Modulation

More information