PAPER A High-Speed Two-Parallel Radix-2 4 FFT/IFFT Processor for MB-OFDM UWB Systems

Size: px
Start display at page:

Download "PAPER A High-Speed Two-Parallel Radix-2 4 FFT/IFFT Processor for MB-OFDM UWB Systems"

Transcription

1 1206 IEICE TRAS. FUDAMETALS, VOL.E91 A, O.4 APRIL 2008 PAPER A High-Speed Two-Parallel Radix-2 4 FFT/IFFT Processor for MB-OFDM UWB Systems Jeesung LEE, onmember and Hanho LEE a), Member SUMMARY This paper presents a novel high-speed, low-complexity two-parallel 128-point radix-2 4 FFT/IFFT processor for MB-OFDM ultrawideband UWB) systems. The proposed high-speed, low-complexity FFT architecture can provide a higher throughput rate and low hardware complexity by using a two-parallel data-path scheme and a single-path delayfeedback SDF) structure. The radix-2 4 FFT algorithm is also realized in our processor to reduce the number of complex multiplications. The proposed FFT/IFFT processor has been designed and implemented with μm CMOS technology in a supply voltage of 1.8 V. The proposed twoparallel FFT/IFFT processor has a throughput rate of up to 900 Msample/s at 450 MHz while requiring much smaller hardware complexity and low power consumption. key words: Fast Fourier transform FFT), radix-2 4, SDF, multiband orthogonal frequency-division multiplexing MB-OFDM), ultrawideband UWB) 1. Introduction Ultrawideband UWB) communication systems, which enable the delivery of data from a rate of 110 Mb/s at a distance of 10 m to a rate of 480 Mb/s at a distance of 2 m in a realistic multipath environment, are ideally suited to application in short range wireless communications because they can share a frequency band with existing narrowband systems and offer a higher data rate than or Bluetooth [1], [2]. One of the communication methods for IEEE a standard is Multiband Orthogonal Frequency Division Multiplexing MB-OFDM), which offers 528 MHz bandwidth [3], [4]. To minimize power consumption and provide multiple simultaneous operating piconet satisfying the Federal Communications Commission regulatory, a MB-OFDM UWB system has been proposed. This system transmits OFDM symbols using a different carrier frequency from symbol to symbol according to time frequency codes [3], [4]. MB- OFDM-based UWB not only has reliably high-data-rate transmission in time-dispersive or frequency-selective channels without having complex time-domain channel equalizers but also can provide high-spectral efficiency. The FFT/IFFT processor is one of the modules having high computational complexity in the physical layer of the MB-OFDM UWB system. The present paper proposes a high-speed, low-complexity FFT/IFFT processor with a novel two data-path parallel pipelined architecture for high- Manuscript received December 20, Manuscript revised October 31, The authors are with School of Information and Communication Engineering, Inha University, Incheon, , Republic of Korea. a) hhlee@inha.ac.kr DOI: /ietfec/e91 a throughput applications. This paper is organized as follows. Section 2 describes the design issues of MB-OFDM UWB communication systems. Section 3 describes the 128-point radix-2 4 FFT/IFFT algorithm. Section 4 provides details of the proposed FFT/IFFT architecture. In Sect. 5, the hardware cost and throughput rate of the proposed FFT/IFFT architecture is compared with that of the existing 128-point FFT/IFFT architecture for MB-OFDM UWB applications. Conclusions are presented in Sect Design Issue of the FFT Processor for the MB- OFDM UWB Systems A block diagram of the proposed physical layer of MB- OFDM UWB systems is shown in Fig. 1 [3], [4]. In the MB- OFDM UWB system, the data rate is from 53.3 to 480 Mb/s with code rates of 1/3, 11/32, 1/2, 5/8, and 3/4. In order to implement the physical layer of the MB-OFDM UWB system more efficiently, the four data-path approach has been adopted to reduce the data sampling rate from the analogdigital converter such that, after the serial-to-parallel converter, the data sampling rate of each path can generally be reduced to 132 Msamples/s [5], [6]. However, the hardware cost is also increased significantly, because more memory and complex multipliers are needed to allow multiple data to be operated simultaneously. Various FFT architectures, such as multi-path delay commutator and single-path delay feedback SDF) in the radix-2 and radix-4 algorithms, have been proposed over the past 3 decades [6], [7]. The architectures listed above have a) b) Fig. 1 Block diagram of the MB-OFDM UWB system, a) transmitter, and b) receiver. Copyright c 2008 The Institute of Electronics, Information and Communication Engineers

2 LEE and LEE: A HIGH-SPEED TWO-PARALLEL RADIX-2 4 FFT/IFFT PROCESSOR FOR MB-OFDM UWB SYSTEMS 1207 distinctive advantages and some common requirements, as has been well described in [6], [7]. The main motivation of this paper is to design a novel two-parallel data-path pipelined radix-2 4 SDF FFT/IFFT architecture that offers high throughput and low hardware complexity. The proposed FFT processor is not only appropriate for the MB- OFDM UWB physical layer but also can provide an available throughput rate to meet the MB-OFDM UWB specifications. The approach is described in more detail in Sects Radix-2 4 Algorithm A Discrete Fourier Transform of length is defined as follows: 1 Xk) = xn)wn, k k = 0, 1,..., 1 1) n=0 where W n, the so called twiddle factor, denotes the -th primitive root of unity, with its exponent evaluated modulo. k is the frequency index and n is the time index. In order to derive the radix-2 4 algorithm, consider the following first 4 steps of decomposition. Applying a 5-dimensional linear index map n = 2 n n n 3 + n 4 + n 5 2) k = k 1 + 2k 2 + 4k 3 + 8k 4 + k 5 The Common Factor Algorithm CFA) takes the form of Xk 1 + 2k 2 + 4k 3 + 8k 4 + k 5 ) = x 2 n 1+ 4 n 2+ 8 n 3+ ) n 4+n 5 W nk n 5 =0 n 4 =0 n 3 =0 n 2 =0 n 1 =0 1 = n 5 =0 [G n 5, k 1, k 2, k 3, k 4 ) W n 5k 1 +2k 2 +4k 3 +8k 4 ) ]W n 5k 5 The twiddle factors can be expressed in the form of W nk = 1)n 1k 1 j) n 2k 1 +2k 2 ) W 2n 3+n 4 )k 1 +2k 2 +4k 3 ) 1) n 4k 4 W n 5k 1 +2k 2 +4k 3 +8k 4 ) W n 5k 5 The fourth butterfly unit has the expression of G n 5, k 1, k 2, k 3, k 4 ) = Hn 5 ) + 1) k 4 W k 1+2k 2 +4k 3 ) +W 2k 1+2k 2 +4k 3 ) H H n 5 + ) 8 + 1) k 4 W 3k 1+2k 2 +4k 3 ) H n n 5 + ) where Hn) denotes the second butterfly unit. Hn)= Hn, k 1, k 2 )= Bn, k 1 )+ j) k 1+2k 2 ) B ) 3) 4) 5) n+ ) 4, k 1 Fig. 2 SFG of the 128-point radix-2 4 SDF FFT algorithm. where Bn, k 1 ) denotes the first butterfly unit as follows. Bn, k 1 )= xn)+ 1) k 1 x n+ ) 2 The twiddle factor W n in Eq. 5) has four complex numbers. The algorithm can take a complex constant multiplier instead of a programmable complex multiplier. Hence, the complex multiplication of twiddle factors, W n, can be implemented in the Canonic Signed Digit CSD) constant multiplier, which contains the fewest number of non-zero bits. As such, the area and power consumption can be reduced [8]. Figure 2 shows the signal flow graph SFG) of the 128-point radix-2 4 SDF R2 4 SDF) FFT algorithm. 4. Proposed Radix-2 4 FFT Architecture A block diagram of the proposed two-parallel data-path 128- point R2 4 SDF FFT/IFFT processor is shown in Fig. 3. The proposed architecture consists of a memory block, butterfly

3 1208 IEICE TRAS. FUDAMETALS, VOL.E91 A, O.4 APRIL 2008 Fig. 3 Proposed 2-parallel data-path 128-point radix-2 4 SDF FFT/IFFT processor. a) Fig. 5 Block diagram of Module1. Fig. 4 b) Radix-2 4 butterfly units a) BF1, W n and b) BF2. units BF1, BF2), complex Booth multipliers, CSD complex constant multipliers, register files, and some multiplexers. The operation of the FFT/IFFT processor is controlled by the control signal, IFFT/FFT sel, asshownin Fig.3. The output results of butterfly units are complex addition and complex subtraction of two input data x[n] and x[/2 + n], where = 128, as shown in Fig. 4. The BF1 stores all of /2-th input data in RAM. When /2 + n)-th input data are fed to BF1, the input data x[n] stored in RAM are read and are added by new input data. And then the subtracted output data x[n] x[/2 + n]) are stored in the location of previous input data x[n]. Whenthenewsetof input dataare fed to BF1after the -th input operation, the new input data are stored in the RAM and x[n] x[/2 + n]) data stored in RAM at previous cycle are read from the RAM. The BF2 architecture is almost same with the BF1 architecture except the operation of 3/4-th input data multiplying of j. Module1 consists of four /4) 10 bits RAMs, adders, subtractors and some multiplexers, as shown in Fig. 5. Module1 operation has two-parallel data path operation with memory. First, for the two-parallel data path operation, the two of the complex inputs are stored in the RAM. When /2-th input data are stored in the RAM, the previously stored input data x[2n 1] are read from the RAM and generates the outputs, which are added and subtracted by x[2n 1] and the new input data x[/2 + 2n 1] simultaneously. And the input data x[/2+2n] fed to Module1 is stored in the location in which the previous x[2n 1] data was stored. After x[] datais stored in the RAM, data x[2n] andx[/2 + 2n], which are read from the RAM, are added and subtracted in the Module1 at the next cycle simultaneously. The last BF1 in Fig. 3 is 64-point butterfly unit because it needs to wait the input data of even time. Meanwhile other BF units need just half point of butterfly, because the input data of odd times and even times operate separately. Two complex Booth multipliers are needed in the two-parallel approach to implement the radix-2 4 FFT algorithm. Figure 6 shows the complex Booth multiplier, which needs a ROM to store the multiplicand. Since only 1/8 period of cosine and sine waveforms are needed, kinds of the twiddle factors, which is 1/8 out of 128 points, are stored in ROM [9]. Thus, the ROM stores all 32 bytes

4 LEE and LEE: A HIGH-SPEED TWO-PARALLEL RADIX-2 4 FFT/IFFT PROCESSOR FOR MB-OFDM UWB SYSTEMS 1209 Table 1 The CSD binary representation of twiddle factor 1 = 1). a) b) Fig. 6 a) Complex booth multiplier and b) 8 8 Dadda reduction network with error-compensation circuit. 8 2 bits) twiddle coefficients. To reduce the truncation error, the fixed-width Dadda multiplier with the error compensation method was used. For the complex Booth multiplier, the Dadda reduction network with error-compensation circuit [10], [11] was used in the proposed FFT processor, as shown in Fig. 6b). The value of 1st table shown in Fig. 6b) is obtained from the Partial Product Generator PPG), in which the sign-bit pre-calculation vector value is The signals y at 6th column, which are the output of error-compensation circuit, are the inversion of the zero signals from Booth encoder. For rounding operation, 1 must be added at the 6th column. The horizontal segment of 2nd table represents the carry and sum output from the full-adder or half-adder. In other words, the crosswise segment represents 2,2) or 3,2) counter. The dot ) at 2nd table means the values copied from 1st table, which is located in the same column. From 1st table to last table, the data reduction method reduces the number of row consecutively. The A, B vector at the last table represents 8-bits 2 s complement number. In comparison of conventional Wallace tree, proposed Dadda reduction network with error-compensation circuit can reduce full adder about 50% relatively. The radix-2 4 FFT algorithm based two-parallel datapath architectures has fewer multipliers than those of lower radix FFT algorithms. For example, the radix-2 4 algorithm has the same number of multipliers as the radix-2 2 algorithm but can reduce the degree of multiplicative complexity by means of replacing a half of the full complex multipliers with trivial constant multipliers [7]. The twiddle factors, W8), W), W24), and W48) correspond to the trigonometrical functions of cosπ/8), sinπ/8) and cosπ/4), respectively. Table 1 shows the twiddle factor, which represents the 8-bits coefficients in the decimal format, the 2 s complement, and the CSD format. Radix-2 4 algorithm can take complex constant multiplier instead of programmable complex multiplier. The Canonic Signed Digit CSD) constant multiplier contains the fewest number of non-zero bits, so it can reduce the area and power consumption [8]. Figure 7 shows the structure of the CSD complex constant multipliers for cosπ/8), sinπ/8), and cosπ/4). To efficiently compensate for the quantization error, the truncated bits are divided into two groups major group and minor group) depending upon their effects on the quantization error. The error compensation bias is first expressed in terms of the truncated bits in the major group. The effects of the other truncated bits in the minor group are then handled by a probabilistic estimation [8]. The total compensation bias, C, circuit is shown in Fig. 7. Table 2 shows the scheduling of the twiddle factor in each data path, in which the multiplication of twiddle factor is separated with 1st data-path and 2nd data-path due to 2-parallel data-path operation. The CSD complex constant multiplier block consists of six CSD constant multipliers, 2 s complement logics, and multiplexers as shown in Fig. 8. Each real value and imaginary value of the output data are outputted by six CSD constant multipliers. When the real and imaginary values of twiddle factors are same, the two CSD constant multipliers are used and theirs two outputs are added to generate the output of the CSD complex multiplier. Otherwise, when the real and imaginary values are not same,

5 1210 IEICE TRAS. FUDAMETALS, VOL.E91 A, O.4 APRIL 2008 a) sinπ/8) = Fig. 8 CSD complex constant multiplier block. b) cosπ/8) = Fig. 7 Table 2 c) cosπ/4) = The proposed CSD constant multiplier. Scheduling of the twiddle factor. the four CSD constant multipliers are used for the multiplication of input and twiddle factors. If inputs don t need to multiply with twiddle factor in case of X in Table 2), the output results are generated from the input directly. 5. Implementation and Performance Evaluation The appropriate word length in the proposed 128-point twoparallel pipelined R2 4 SDF FFT/IFFT processor is determined by a fixed-point simulation before hardware imple- Fig. 9 Simulation result for SR versus the internal word length. mentation. Figure 9 shows the simulation results for the relation of SR with the internal word length of the FFT/IFFT processor. The detailed explanation is described in our previous paper [12]. Based on the simulation results, we determined the word length of the proposed FFT/IFFT processor to be 10 bits in both real and imaginary parts. In addition, the SQR of the proposed 128-point R2 4 SDF FFT/IFFT processor is about 33 db. After the appropriate word length of the proposed FFT/IFFT processor was chosen, the FFT/IFFT processor was implemented using a standard-cell based design methodology and the 0.18-μm MagnaChip Components library plus full-custom memory and register file blocks. The performance and hardware cost of the pipelined FFT/IFFT processor are increased as a result of using the multiple data-path approach. In general, conventional FFT architectures have used a four-parallel data-path approach [5], [6], which requires higher hardware cost. However, the proposed two-parallel data-path pipelined R2 4 SDF FFT/IFFT processor provides higher throughput rate with higher clock frequency while the hardware cost is reduced significantly. Table 3 shows performance comparisons between the proposed two-parallel R2 4 SDF FFT/IFFT processor and the existing 128-point FFT/IFFT processor [6]. The twoparallel R2 4 SDF FFT/IFFT processor consists of 70,000

6 LEE and LEE: A HIGH-SPEED TWO-PARALLEL RADIX-2 4 FFT/IFFT PROCESSOR FOR MB-OFDM UWB SYSTEMS 1211 Table 3 Performance of the 128-point pipelined FFT/IFFT architectures. gates excluding memories, and the operating clock frequency is about 450 MHz. Although the number of registers in our design is greater than previous four-parallel architecture, it is implemented by two bits RAM, which requires small area cost. Also, it not only has a significantly reduced number of complex multiplication and complex addition but also can provide the highest clock frequency 450 MHz due to two-parallel data-path and pipelined complex Booth multiplier. The highest throughput rate of our proposed architecture is up to 900 Msample/s at 450 MHz. [3] A. Batra, et al., Multi-band OFDM physical layer proposal for IEEE task group 3a, IEEE P /268r3, March [4] A. Batra, J. Balakrishnan, G.R. Aiello, J.R. Foerster, and A. Dabak, Design of multiband OFDM system for realistic UWB channel environment, IEEE Trans. Microw. Theory Tech., vol.52, no.9, pp , Sept [5] C.-H. Shin, S. Choi, H. Lee, and J.-K. Pack, Design and performance of 4-parallel MB-OFDM UWB receiver, IEICE Trans. Commun., vol.e90-b, no.3, pp , March [6] Y.-W. Lin, H.-Y. Liu, and C.-Y. Lee, A 1-GS/s FFT/IFFT processor for UWB applications, IEEE J. Solid-State Circuits, vol.40, no.8, pp , Aug [7] J.-Y. Oh and M.-S. Lim, Fast fourier transform algorithm for low-power and area-efficient algorithm, IEICE Trans. Commun., vol.e89-b, no.4, pp , April [8] S.-M. Kim, J.-G. Chung, and K.K. Parhi, Low error fixed-width CSD multiplier with efficient sign extention, IEEE Trans. Circuits Syst. II, vol.50, no.12, pp , Dec [9] L. Jia, Y. Gao, J. Isoaho, and H. Tenhunen, A new VLSI-oriented FFT algorithm and implementation, Proc. 11th Annu. IEEE Int. ASIC Conf., pp , Sept [10] L. Dadda, On parallel digital multipliers, Alta Frequenza, vol.45, pp , [11] K.J. Cho, K.C. Lee, J.G. Chung, and K.K. Parhi, Design of lowerror. Fixed-width modified booth multiplier, IEEE Trans. Very Large Scale Integr. VLSI) Syst., vol.12, no.5, pp , May [12] J. Lee, H. Lee, S.-I. Cho, and S.-S. Choi, A high-speed, lowcomplexity radix-2 4 FFT processor for MB-OFDM UWB systems, IEEE Inter. Symp. on Circuits and Systems, pp , May Conclusion In this paper, a novel two-parallel data-path pipelined 128- point radix-2 4 SDF FFT/IFFT processor for a MB-OFDM UWB system has been proposed. In the proposed architecture, high-speed data processing and low hardware complexity can be achieved due to two-parallel data-path structure and high clock speed. Furthermore, the number of complex Booth multipliers is effectively reduced by using a radix-2 4 SDF FFT algorithm. The performance results show that the data processing rate is as high as 900 Msamples/sat 450 MHz while requiring small hardware complexity. The proposed architecture is expected to be incorporated in highspeed, low-complexity MB-OFDM UWB systems. Acknowledgments This research was supported by the MIC Ministry of Information and Communication), Korea, under the ITRC Information Technology Research Center) support program supervised by the IITA. References [1] J. Foerster, E. Green, S. Somayazulu, and D. Leeper, Ultrawideband technology for short-or medium-range wireless communications, Intel Technology Journal Q2, 2001.S.B. Wicker, Error Control Systems for Digital Communication and Storage, Prentice Hall, [2] T. Domain, UWB applications, demonstration & regulatory update, Sept 2001 Workshop, March Jeesung Lee received B.S. degree in Electrical & Computer engineering from Inha University in 2005 and M.S. degree in information & communication engineering from Inha University, Incheon, Korea, in 2007, respectively. His research interests are digital VLSI circuits and systems design for communications. Since March 2007, she has been with the LIG ex1 as the member of engineering staff. Hanho Lee received Ph.D. and M.S. degrees, both in Electrical & Computer Engineering, from the University of Minnesota, Minneapolis, in 2000 and 1996 respectively, and a B.S. degree in Electronics Engineering from Chungbuk ational University, S. Korea, in In 1999, he was a Member of Technical-Staff-1 at Lucent Technologies, Bell Labs, Holmdel, J. From April 2000 to August 2002, he was a Member of Technical Staff at the Lucent Technologies Bell Labs Innovations), Allentown, where he was responsible for the development of VLSI architectures and implementation of high-performance DSP multiprocessor SoC for wireless infrastructure systems. From August 2002 to August 2004, he was an assistant professor at the Department of Electrical & Computer Engineering, University of Connecticut. Since August 2004, he has been with the School of Information and Communication Engineering, Inha University, where he is presently an Associate Professor. His research interests include design of VLSI circuits and systems for communications, System-on-a-Chip SoC) design, reconfigurable architecture, and forward error correction coding.

A High-Speed Low-Complexity Modified Processor for High Rate WPAN Applications

A High-Speed Low-Complexity Modified Processor for High Rate WPAN Applications IEEE TRASACTIOS O VERY LARGE SCALE ITEGRATIO (VLSI) SYSTEMS, VOL. 21, O. 1, JAUARY 2013 187 [4] J. A. de Lima and C. Dualibe, A linearly tunable low-voltage CMOS transconductor with improved common-mode

More information

ULTRAWIDEBAND (UWB) communication systems,

ULTRAWIDEBAND (UWB) communication systems, 1726 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 8, AUGUST 2005 A 1-GS/s FFT/IFFT Processor for UWB Applications Yu-Wei Lin, Hsuan-Yu Liu, and Chen-Yi Lee, Member, IEEE Abstract In this paper, we

More information

IMPLEMENTATION OF 64-POINT FFT/IFFT BY USING RADIX-8 ALGORITHM

IMPLEMENTATION OF 64-POINT FFT/IFFT BY USING RADIX-8 ALGORITHM Int. J. Elec&Electr.Eng&Telecoms. 2013 K Venkata Subba Reddy and K Bala, 2013 Research Paper ISSN 2319 2518 www.ijeetc.com Vol. 2, No. 4, October 2013 2013 IJEETC. All Rights Reserved IMPLEMENTATION OF

More information

Area Efficient Fft/Ifft Processor for Wireless Communication

Area Efficient Fft/Ifft Processor for Wireless Communication IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 3, Ver. III (May-Jun. 2014), PP 17-21 e-issn: 2319 4200, p-issn No. : 2319 4197 Area Efficient Fft/Ifft Processor for Wireless Communication

More information

A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS

A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS Ms. P. P. Neethu Raj PG Scholar, Electronics and Communication Engineering, Vivekanadha College of Engineering for Women, Tiruchengode, Tamilnadu,

More information

VLSI Implementation of Area-Efficient and Low Power OFDM Transmitter and Receiver

VLSI Implementation of Area-Efficient and Low Power OFDM Transmitter and Receiver Indian Journal of Science and Technology, Vol 8(18), DOI: 10.17485/ijst/2015/v8i18/63062, August 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 VLSI Implementation of Area-Efficient and Low Power

More information

A FFT/IFFT Soft IP Generator for OFDM Communication System

A FFT/IFFT Soft IP Generator for OFDM Communication System A FFT/IFFT Soft IP Generator for OFDM Communication System Tsung-Han Tsai, Chen-Chi Peng and Tung-Mao Chen Department of Electrical Engineering, National Central University Chung-Li, Taiwan Abstract: -

More information

S.Nagaraj 1, R.Mallikarjuna Reddy 2

S.Nagaraj 1, R.Mallikarjuna Reddy 2 FPGA Implementation of Modified Booth Multiplier S.Nagaraj, R.Mallikarjuna Reddy 2 Associate professor, Department of ECE, SVCET, Chittoor, nagarajsubramanyam@gmail.com 2 Associate professor, Department

More information

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier M.Shiva Krushna M.Tech, VLSI Design, Holy Mary Institute of Technology And Science, Hyderabad, T.S,

More information

Design Of A Parallel Pipelined FFT Architecture With Reduced Number Of Delays

Design Of A Parallel Pipelined FFT Architecture With Reduced Number Of Delays Design Of A Parallel Pipelined FFT Architecture With Reduced Number Of Delays Kiranraj A. Tank Department of Electronics Y.C.C.E, Nagpur, Maharashtra, India Pradnya P. Zode Department of Electronics Y.C.C.E,

More information

DESIGN & IMPLEMENTATION OF FIXED WIDTH MODIFIED BOOTH MULTIPLIER

DESIGN & IMPLEMENTATION OF FIXED WIDTH MODIFIED BOOTH MULTIPLIER DESIGN & IMPLEMENTATION OF FIXED WIDTH MODIFIED BOOTH MULTIPLIER 1 SAROJ P. SAHU, 2 RASHMI KEOTE 1 M.tech IVth Sem( Electronics Engg.), 2 Assistant Professor,Yeshwantrao Chavan College of Engineering,

More information

A Novel Low Power Approach for Radix-4 commutator FFT Based on CSD Algorithm

A Novel Low Power Approach for Radix-4 commutator FFT Based on CSD Algorithm A Novel Low Power Approach for Radix-4 commutator FFT Based on CSD Algorithm 1 BANOTHU DHARMA, 2 O.RAVINDER, 3 B.HANMANTHU 1,2 Dept. of ECE, Sree Chaitanya College of Engineering, Karimnagar, T.S. India

More information

Design of Reconfigurable FFT Processor With Reduced Area And Power

Design of Reconfigurable FFT Processor With Reduced Area And Power Design of Reconfigurable FFT Processor With Reduced Area And Power 1 Sharon Thomas & 2 V Sarada 1 Dept. of VLSI Design, 2 Department of ECE, 1&2 SRM University E-mail : Sharonthomas05@gmail.com Abstract

More information

An Area Efficient FFT Implementation for OFDM

An Area Efficient FFT Implementation for OFDM Vol. 2, Special Issue 1, May 20 An Area Efficient FFT Implementation for OFDM R.KALAIVANI#1, Dr. DEEPA JOSE#1, Dr. P. NIRMAL KUMAR# # Department of Electronics and Communication Engineering, Anna University

More information

Area and Power Efficient Booth s Multipliers Based on Non Redundant Radix-4 Signed- Digit Encoding

Area and Power Efficient Booth s Multipliers Based on Non Redundant Radix-4 Signed- Digit Encoding Area and Power Efficient Booth s Multipliers Based on Non Redundant Radix-4 Signed- Digit Encoding S.Reshma 1, K.Rjendra Prasad 2 P.G Student, Department of Electronics and Communication Engineering, Mallareddy

More information

A Low Power Pipelined FFT/IFFT Processor for OFDM Applications

A Low Power Pipelined FFT/IFFT Processor for OFDM Applications A Low Power Pipelined FFT/IFFT Processor for OFDM Applications M. Jasmin 1 Asst. Professor, Bharath University, Chennai, India 1 ABSTRACT: To produce multiple subcarriers orthogonal frequency division

More information

A Novel Approach in Pipeline Architecture for 64-Point FFT Processor without ROM

A Novel Approach in Pipeline Architecture for 64-Point FFT Processor without ROM A Novel Approach in Pipeline Architecture for 64-Point FFT Processor without ROM A.Manimaran, Dr.S.K.Sudheer, Manu.K.Harshan Associate Professor, Department of ECE, Karpaga Vinayaga College of Engineering

More information

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier Gowridevi.B 1, Swamynathan.S.M 2, Gangadevi.B 3 1,2 Department of ECE, Kathir College of Engineering 3 Department of ECE,

More information

EFFICIENT DESIGN OF FFT/IFFT PROCESSOR USING VERILOG HDL

EFFICIENT DESIGN OF FFT/IFFT PROCESSOR USING VERILOG HDL EFFICIENT DESIGN OF FFT/IFFT PROCESSOR USING VERILOG HDL M. SRIDHANYA (1), MRS. G. ANNAPURNA (2) M.TECH, VLSI SYSTEM DESIGN, VIDYA JYOTHI INSTITUTE OF TECHNOLOGY (1) M.TECH, ASSISTANT PROFESSOR, VIDYA

More information

Fast Fourier Transform: VLSI Architectures

Fast Fourier Transform: VLSI Architectures Fast Fourier Transform: VLSI Architectures Lecture Vladimir Stojanović 6.97 Communication System Design Spring 6 Massachusetts Institute of Technology Cite as: Vladimir Stojanovic, course materials for

More information

VLSI Implementation of Pipelined Fast Fourier Transform

VLSI Implementation of Pipelined Fast Fourier Transform ISSN: 2278 323 Volume, Issue 4, June 22 VLSI Implementation of Pipelined Fast Fourier Transform K. Indirapriyadarsini, S.Kamalakumari 2, G. Prasannakumar 3 Swarnandhra Engineering College &2, Vishnu Institute

More information

A Novel High Performance 64-bit MAC Unit with Modified Wallace Tree Multiplier

A Novel High Performance 64-bit MAC Unit with Modified Wallace Tree Multiplier Proceedings of International Conference on Emerging Trends in Engineering & Technology (ICETET) 29th - 30 th September, 2014 Warangal, Telangana, India (SF0EC024) ISSN (online): 2349-0020 A Novel High

More information

M.Tech Student, Asst Professor Department Of Eelectronics and Communications, SRKR Engineering College, Andhra Pradesh, India

M.Tech Student, Asst Professor Department Of Eelectronics and Communications, SRKR Engineering College, Andhra Pradesh, India Computational Performances of OFDM using Different Pruned FFT Algorithms Alekhya Chundru 1, P.Krishna Kanth Varma 2 M.Tech Student, Asst Professor Department Of Eelectronics and Communications, SRKR Engineering

More information

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER American Journal of Applied Sciences 11 (2): 180-188, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.180.188 Published Online 11 (2) 2014 (http://www.thescipub.com/ajas.toc) AREA

More information

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm V.Sandeep Kumar Assistant Professor, Indur Institute Of Engineering & Technology,Siddipet

More information

Low Power R4SDC Pipelined FFT Processor Architecture

Low Power R4SDC Pipelined FFT Processor Architecture IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) e-issn: 2319 4200, p-issn No. : 2319 4197 Volume 1, Issue 6 (Mar. Apr. 2013), PP 68-75 Low Power R4SDC Pipelined FFT Processor Architecture Anjana

More information

High-performance Parallel Concatenated Polar-CRC Decoder Architecture

High-performance Parallel Concatenated Polar-CRC Decoder Architecture JOURAL OF SEMICODUCTOR TECHOLOGY AD SCIECE, VOL.8, O.5, OCTOBER, 208 ISS(Print) 598-657 https://doi.org/0.5573/jsts.208.8.5.560 ISS(Online) 2233-4866 High-performance Parallel Concatenated Polar-CRC Decoder

More information

Architecture for Canonic RFFT based on Canonic Sign Digit Multiplier and Carry Select Adder

Architecture for Canonic RFFT based on Canonic Sign Digit Multiplier and Carry Select Adder Architecture for Canonic based on Canonic Sign Digit Multiplier and Carry Select Adder Pradnya Zode Research Scholar, Department of Electronics Engineering. G.H. Raisoni College of engineering, Nagpur,

More information

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog K.Durgarao, B.suresh, G.Sivakumar, M.Divaya manasa Abstract Digital technology has advanced such that there is an increased need for power efficient

More information

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique TALLURI ANUSHA *1, and D.DAYAKAR RAO #2 * Student (Dept of ECE-VLSI), Sree Vahini Institute of Science and Technology,

More information

Low-Power Multipliers with Data Wordlength Reduction

Low-Power Multipliers with Data Wordlength Reduction Low-Power Multipliers with Data Wordlength Reduction Kyungtae Han, Brian L. Evans, and Earl E. Swartzlander, Jr. Dept. of Electrical and Computer Engineering The University of Texas at Austin Austin, TX

More information

Low power and Area Efficient MDC based FFT for Twin Data Streams

Low power and Area Efficient MDC based FFT for Twin Data Streams RESEARCH ARTICLE OPEN ACCESS Low power and Area Efficient MDC based FFT for Twin Data Streams M. Hemalatha 1, R. Ashok Chaitanya Varma 2 1 ( M.Tech -VLSID Student, Department of Electronics and Communications

More information

Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters

Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters Proceedings of the th WSEAS International Conference on CIRCUITS, Vouliagmeni, Athens, Greece, July -, (pp3-39) Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters KENNY JOHANSSON,

More information

Design of an optimized multiplier based on approximation logic

Design of an optimized multiplier based on approximation logic ISSN:2348-2079 Volume-6 Issue-1 International Journal of Intellectual Advancements and Research in Engineering Computations Design of an optimized multiplier based on approximation logic Dhivya Bharathi

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

Mahendra Engineering College, Namakkal, Tamilnadu, India.

Mahendra Engineering College, Namakkal, Tamilnadu, India. Implementation of Modified Booth Algorithm for Parallel MAC Stephen 1, Ravikumar. M 2 1 PG Scholar, ME (VLSI DESIGN), 2 Assistant Professor, Department ECE Mahendra Engineering College, Namakkal, Tamilnadu,

More information

Tirupur, Tamilnadu, India 1 2

Tirupur, Tamilnadu, India 1 2 986 Efficient Truncated Multiplier Design for FIR Filter S.PRIYADHARSHINI 1, L.RAJA 2 1,2 Departmentof Electronics and Communication Engineering, Angel College of Engineering and Technology, Tirupur, Tamilnadu,

More information

Design and Implementation of 64-bit MAC Unit for DSP Applications using verilog HDL

Design and Implementation of 64-bit MAC Unit for DSP Applications using verilog HDL Design and Implementation of 64-bit MAC Unit for DSP Applications using verilog HDL 1 Shaik. Mahaboob Subhani 2 L.Srinivas Reddy Subhanisk491@gmal.com 1 lsr@ngi.ac.in 2 1 PG Scholar Dept of ECE Nalanda

More information

Ajmer, Sikar Road Ajmer,Rajasthan,India. Ajmer, Sikar Road Ajmer,Rajasthan,India.

Ajmer, Sikar Road Ajmer,Rajasthan,India. Ajmer, Sikar Road Ajmer,Rajasthan,India. DESIGN AND IMPLEMENTATION OF MAC UNIT FOR DSP APPLICATIONS USING VERILOG HDL Amit kumar 1 Nidhi Verma 2 amitjaiswalec162icfai@gmail.com 1 verma.nidhi17@gmail.com 2 1 PG Scholar, VLSI, Bhagwant University

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

DESIGN AND IMPLEMENTATION OF FFT ARCHITECTURE FOR REAL-VALUED SIGNALS BASED ON RADIX-2 3 ALGORITHM

DESIGN AND IMPLEMENTATION OF FFT ARCHITECTURE FOR REAL-VALUED SIGNALS BASED ON RADIX-2 3 ALGORITHM DESIGN AND IMPLEMENTATION OF FFT ARCHITECTURE FOR REAL-VALUED SIGNALS BASED ON RADIX-2 3 ALGORITHM 1 Pradnya Zode, 2 A.Y. Deshmukh and 3 Abhilesh S. Thor 1,3 Assistnant Professor, Yeshwantrao Chavan College

More information

Pre-Encoded Multipliers Based on Non-Redundant Radix-4 Signed-Digit Encoding

Pre-Encoded Multipliers Based on Non-Redundant Radix-4 Signed-Digit Encoding 670 IEEE TRANSACTIONS ON COMPUTERS, VOL. 65, NO. 2, FEBRUARY 2016 Pre-Encoded Multipliers Based on Non-Redundant Radix-4 Signed-Digit Encoding K. Tsoumanis, N. Axelos, N. Moschopoulos, G. Zervakis, and

More information

Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm

Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm Vijay Dhar Maurya 1, Imran Ullah Khan 2 1 M.Tech Scholar, 2 Associate Professor (J), Department of

More information

A SURVEY ON FFT/IFFT PROCESSOR FOR HIGH SPEED WIRELESS COMMUNICATION SYSTEM

A SURVEY ON FFT/IFFT PROCESSOR FOR HIGH SPEED WIRELESS COMMUNICATION SYSTEM A SURVEY ON FFT/IFFT PROCESSOR FOR HIGH SPEED WIRELESS COMMUNICATION SYSTEM K. Vijayakanthan and M. Anand Dr. M. G. R Educational and Research Institute University, Chennai, India E-Mail: vijayakanthank@gmail.com

More information

Comparison of Conventional Multiplier with Bypass Zero Multiplier

Comparison of Conventional Multiplier with Bypass Zero Multiplier Comparison of Conventional Multiplier with Bypass Zero Multiplier 1 alyani Chetan umar, 2 Shrikant Deshmukh, 3 Prashant Gupta. M.tech VLSI Student SENSE Department, VIT University, Vellore, India. 632014.

More information

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers Dharmapuri Ranga Rajini 1 M.Ramana Reddy 2 rangarajini.d@gmail.com 1 ramanareddy055@gmail.com 2 1 PG Scholar, Dept

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

Combination of SDC-SDF Architecture for I/O Pipelined Radix-2 FFT

Combination of SDC-SDF Architecture for I/O Pipelined Radix-2 FFT Combination of SDC-SDF Architecture for I/O Pipelined Radix-2 FFT G.Chandrabrahmini M.Tech Student, Stanley Stephen College of Engineering & Technology, Panchalingala, Kurnool - 518004. A.P. N.Praveen

More information

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN An efficient add multiplier operator design using modified Booth recoder 1 I.K.RAMANI, 2 V L N PHANI PONNAPALLI 2 Assistant Professor 1,2 PYDAH COLLEGE OF ENGINEERING & TECHNOLOGY, Visakhapatnam,AP, India.

More information

An FPGA Based Low Power Multiplier for FFT in OFDM Systems Using Precomputations

An FPGA Based Low Power Multiplier for FFT in OFDM Systems Using Precomputations An FPGA Based Low Power Multiplier for FFT in OFDM Systems Using Precomputations Mokhtar Aboelaze Dept of Electrical Engineering and Computer Science Lassonde School of Engineering York University Toronto

More information

An Efficient Design of Parallel Pipelined FFT Architecture

An Efficient Design of Parallel Pipelined FFT Architecture www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 3, Issue 10 October, 2014 Page No. 8926-8931 An Efficient Design of Parallel Pipelined FFT Architecture Serin

More information

Design and Implementation of Reconfigurable FIR Filter

Design and Implementation of Reconfigurable FIR Filter Design and Implementation of Reconfigurable FIR Filter using VHBCSE Algorithm Nune Anusha 1 B. Vasu Naik 2 anushanune44@gmail.com 1 vasu523@gmail.com 2 1 PG Scholar, Dept of ECE, Ganapathy Engineering

More information

Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure

Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure Vol. 2, Issue. 6, Nov.-Dec. 2012 pp-4736-4742 ISSN: 2249-6645 Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure R. Devarani, 1 Mr. C.S.

More information

DESIGN AND IMPLEMENTATION OF MOBILE WiMAX (IEEE e) PHYSICAL LAYERUSING FPGA

DESIGN AND IMPLEMENTATION OF MOBILE WiMAX (IEEE e) PHYSICAL LAYERUSING FPGA DESIGN AND IMPLEMENTATION OF MOBILE WiMAX (IEEE 802.16e) PHYSICAL LAYERUSING FPGA 1 Shailaja S, 2 DeepaM 1 M.E VLSI DESIGN, 2 Assistant Professor, Kings college of Engineering,Thanjavur, Tamilnadu, India.

More information

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER 1 ZUBER M. PATEL 1 S V National Institute of Technology, Surat, Gujarat, Inida E-mail: zuber_patel@rediffmail.com Abstract- This paper presents

More information

Implementation of Parallel MAC Unit in 8*8 Pre- Encoded NR4SD Multipliers

Implementation of Parallel MAC Unit in 8*8 Pre- Encoded NR4SD Multipliers Implementation of Parallel MAC Unit in 8*8 Pre- Encoded NR4SD Multipliers Justin K Joy 1, Deepa N R 2, Nimmy M Philip 3 1 PG Scholar, Department of ECE, FISAT, MG University, Angamaly, Kerala, justinkjoy333@gmail.com

More information

A Partially Operated FFT/IFFT Processor for Low Complexity OFDM Modulation and Demodulation of WiBro In-car Entertainment System

A Partially Operated FFT/IFFT Processor for Low Complexity OFDM Modulation and Demodulation of WiBro In-car Entertainment System D.-S. Kim et al.: A Partially Operated FFT/IFFT Processor for Low Complexity OFDM Modulation and Demodulation of WiBro In-car Entertainment System A Partially Operated FFT/IFFT Processor for Low Complexity

More information

VLSI Design of High Performance Complex Multiplier

VLSI Design of High Performance Complex Multiplier International Refereed Journal of Engineering and Science (IRJES) ISSN (Online) 2319-183X, (Print) 2319-1821 Volume 1, Issue 4 (December 2014), PP.68-75 VLSI Design of High Performance Complex Multiplier

More information

Area Efficient NR4SD Encoding for Pre-Encoded Multipliers

Area Efficient NR4SD Encoding for Pre-Encoded Multipliers Area Efficient NR4SD Encoding for Pre-Encoded Multipliers B. Gowtam Kumar Department of Electronics & Communication Engineering, BVC College of Engineering, Palacharla, Rajanagaram, A.P - 533294, India.

More information

A High Performance Split-Radix FFT with Constant Geometry Architecture

A High Performance Split-Radix FFT with Constant Geometry Architecture A High Performance Split-Radix FFT with Constant Geometry Architecture Joyce Kwong, Manish Goel Systems and Applications R&D Center 25 TI Blvd Dallas TX, USA Email: {kwong, goel}@ti.com Abstract High performance

More information

Implementation of an IFFT for an Optical OFDM Transmitter with 12.1 Gbit/s

Implementation of an IFFT for an Optical OFDM Transmitter with 12.1 Gbit/s Implementation of an IFFT for an Optical OFDM Transmitter with 12.1 Gbit/s Michael Bernhard, Joachim Speidel Universität Stuttgart, Institut für achrichtenübertragung, 7569 Stuttgart E-Mail: bernhard@inue.uni-stuttgart.de

More information

DESIGN OF MULTIPLE CONSTANT MULTIPLICATION ALGORITHM FOR FIR FILTER

DESIGN OF MULTIPLE CONSTANT MULTIPLICATION ALGORITHM FOR FIR FILTER Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 3, March 2014,

More information

A High-Throughput VLSI Architecture for SC-FDMA MIMO Detectors

A High-Throughput VLSI Architecture for SC-FDMA MIMO Detectors A High-Throughput VLSI Architecture for SC-FDMA MIMO Detectors K.Keerthana 1, G.Jyoshna 2 M.Tech Scholar, Dept of ECE, Sri Krishnadevaraya University College of, AP, India 1 Lecturer, Dept of ECE, Sri

More information

Multiple Constant Multiplication for Digit-Serial Implementation of Low Power FIR Filters

Multiple Constant Multiplication for Digit-Serial Implementation of Low Power FIR Filters Multiple Constant Multiplication for igit-serial Implementation of Low Power FIR Filters KENNY JOHANSSON, OSCAR GUSTAFSSON, and LARS WANHAMMAR epartment of Electrical Engineering Linköping University SE-8

More information

LOW POWER FEED FORWARD FFT ARCHITECTURES USING SWITCH LOGIC

LOW POWER FEED FORWARD FFT ARCHITECTURES USING SWITCH LOGIC LOW POWER FEED FORWARD FFT ARCHITECTURES USING SWITCH LOGIC 1 DHANABAL R, 2 BHARATHI V, 3 SUJANA D.V., 4 SHRUTHI UDAYKUMAR, 5 JOHNY S RAJ, 6 ARAVIND KUMAR V.N #1 Assistant Professor (Senior Grade),VLSI

More information

A Combined SDC-SDF Architecture for Normal I/O Pipelined Radix-2 FFT

A Combined SDC-SDF Architecture for Normal I/O Pipelined Radix-2 FFT IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 A Combined SDC-SDF Architecture for Normal I/O Pipelined Radix-2 FFT Zeke Wang, Xue Liu, Bingsheng He, and Feng Yu Abstract We present

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November-2014 1470 Design and implementation of an efficient OFDM communication using fused floating point FFT Pamidi Lakshmi

More information

A Hardware Efficient FIR Filter for Wireless Sensor Networks

A Hardware Efficient FIR Filter for Wireless Sensor Networks International Journal of Innovative Research in Computer Science & Technology (IJIRCST) ISSN: 2347-5552, Volume-2, Issue-3, May 204 A Hardware Efficient FIR Filter for Wireless Sensor Networks Ch. A. Swamy,

More information

OFDM Based Low Power Secured Communication using AES with Vedic Mathematics Technique for Military Applications

OFDM Based Low Power Secured Communication using AES with Vedic Mathematics Technique for Military Applications OFDM Based Low Power Secured Communication using AES with Vedic Mathematics Technique for Military Applications Elakkiya.V 1, Sharmila.S 2, Swathi Priya A.S 3, Vinodha.K 4 1,2,3,4 Department of Electronics

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

Design and Performance Analysis of a Reconfigurable Fir Filter

Design and Performance Analysis of a Reconfigurable Fir Filter Design and Performance Analysis of a Reconfigurable Fir Filter S.karthick Department of ECE Bannari Amman Institute of Technology Sathyamangalam INDIA Dr.s.valarmathy Department of ECE Bannari Amman Institute

More information

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA.

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA. Volume 4, Issue 11, November 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Future to

More information

Pipelined FFT/IFFT 256 points (Fast Fourier Transform) IP Core User Manual

Pipelined FFT/IFFT 256 points (Fast Fourier Transform) IP Core User Manual Pipelined FFT/IFFT 256 points (Fast Fourier Transform) IP Core User Manual Unicore Systems Ltd 60-A Saksaganskogo St Office 1 Kiev 01033 Ukraine Phone: +38-044-289-87-44 Fax: : +38-044-289-87-44 E-mail:

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

Data Word Length Reduction for Low-Power DSP Software

Data Word Length Reduction for Low-Power DSP Software EE382C: LITERATURE SURVEY, APRIL 2, 2004 1 Data Word Length Reduction for Low-Power DSP Software Kyungtae Han Abstract The increasing demand for portable computing accelerates the study of minimizing power

More information

IN SEVERAL wireless hand-held systems, the finite-impulse

IN SEVERAL wireless hand-held systems, the finite-impulse IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 51, NO. 1, JANUARY 2004 21 Power-Efficient FIR Filter Architecture Design for Wireless Embedded System Shyh-Feng Lin, Student Member,

More information

HIGH SPEED FIXED-WIDTH MODIFIED BOOTH MULTIPLIERS

HIGH SPEED FIXED-WIDTH MODIFIED BOOTH MULTIPLIERS HIGH SPEED FIXED-WIDTH MODIFIED BOOTH MULTIPLIERS Jeena James, Prof.Binu K Mathew 2, PG student, Associate Professor, Saintgits College of Engineering, Saintgits College of Engineering, MG University,

More information

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST ǁ Volume 02 - Issue 01 ǁ January 2017 ǁ PP. 06-14 Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST Ms. Deepali P. Sukhdeve Assistant Professor Department

More information

A PIPELINE FFT PROCESSOR

A PIPELINE FFT PROCESSOR A PPELNE FFT PROCESSOR Weidong Li Electrical Engineering Dept. Linkoping University Lin koping SE-581 83 Sweden Lars Wanhammar Electrical Engineering Dept. Linkoping University Linkoping SE-581 83 Sweden

More information

An Optimized Design for Parallel MAC based on Radix-4 MBA

An Optimized Design for Parallel MAC based on Radix-4 MBA An Optimized Design for Parallel MAC based on Radix-4 MBA R.M.N.M.Varaprasad, M.Satyanarayana Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India Abstract In this paper a novel architecture

More information

A Survey on Power Reduction Techniques in FIR Filter

A Survey on Power Reduction Techniques in FIR Filter A Survey on Power Reduction Techniques in FIR Filter 1 Pooja Madhumatke, 2 Shubhangi Borkar, 3 Dinesh Katole 1, 2 Department of Computer Science & Engineering, RTMNU, Nagpur Institute of Technology Nagpur,

More information

Design A Redundant Binary Multiplier Using Dual Logic Level Technique

Design A Redundant Binary Multiplier Using Dual Logic Level Technique Design A Redundant Binary Multiplier Using Dual Logic Level Technique Sreenivasa Rao Assistant Professor, Department of ECE, Santhiram Engineering College, Nandyala, A.P. Jayanthi M.Tech Scholar in VLSI,

More information

Design of 8-4 and 9-4 Compressors Forhigh Speed Multiplication

Design of 8-4 and 9-4 Compressors Forhigh Speed Multiplication American Journal of Applied Sciences 10 (8): 893-900, 2013 ISSN: 1546-9239 2013 R. Marimuthu et al., This open access article is distributed under a Creative Commons Attribution (CC-BY) 3.0 license doi:10.3844/ajassp.2013.893.900

More information

Vector Arithmetic Logic Unit Amit Kumar Dutta JIS College of Engineering, Kalyani, WB, India

Vector Arithmetic Logic Unit Amit Kumar Dutta JIS College of Engineering, Kalyani, WB, India Vol. 2 Issue 2, December -23, pp: (75-8), Available online at: www.erpublications.com Vector Arithmetic Logic Unit Amit Kumar Dutta JIS College of Engineering, Kalyani, WB, India Abstract: Real time operation

More information

A Review on Different Multiplier Techniques

A Review on Different Multiplier Techniques A Review on Different Multiplier Techniques B.Sudharani Research Scholar, Department of ECE S.V.U.College of Engineering Sri Venkateswara University Tirupati, Andhra Pradesh, India Dr.G.Sreenivasulu Professor

More information

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay D.Durgaprasad Department of ECE, Swarnandhra College of Engineering & Technology,

More information

Convolutional Coding Using Booth Algorithm For Application in Wireless Communication

Convolutional Coding Using Booth Algorithm For Application in Wireless Communication Available online at www.interscience.in Convolutional Coding Using Booth Algorithm For Application in Wireless Communication Sishir Kalita, Parismita Gogoi & Kandarpa Kumar Sarma Department of Electronics

More information

Simulation of Parallel Pipeline Radix 2^2 Architecture

Simulation of Parallel Pipeline Radix 2^2 Architecture Simulation of Parallel Pipeline Radix 2^2 Architecture Ankita. S. Dubey Student MTech Electronics Engineering(Communication) Vidharbh Institute of Technology agpur,india. Prof. ilesh P. Bodne Assistant

More information

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER International Journal of Advancements in Research & Technology, Volume 4, Issue 6, June -2015 31 A SPST BASED 16x16 MULTIPLIER FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

An Efficient FFT Design for OFDM Systems with MIMO support

An Efficient FFT Design for OFDM Systems with MIMO support An Efficient FFT Design for OFDM Systems with MIMO support Maheswari. Dasarathan, Dr. R. Seshasayanan Abstract This paper presents the implementation of FFT for OFDM systems to process the real time high

More information

ENHANCING BER PERFORMANCE FOR OFDM

ENHANCING BER PERFORMANCE FOR OFDM RESEARCH ARTICLE OPEN ACCESS ENHANCING BER PERFORMANCE FOR OFDM Amol G. Bakane, Prof. Shraddha Mohod Electronics Engineering (Communication), TGPCET Nagpur Electronics & Telecommunication Engineering,TGPCET

More information

NOVEL HIGH SPEED IMPLEMENTATION OF 32 BIT MULTIPLIER USING CSLA and CLAA

NOVEL HIGH SPEED IMPLEMENTATION OF 32 BIT MULTIPLIER USING CSLA and CLAA NOVEL HIGH SPEED IMPLEMENTATION OF 32 BIT MULTIPLIER USING CSLA and CLAA #1 NANGUNOORI THRIVENI Pursuing M.Tech, #2 P.NARASIMHULU - Associate Professor, SREE CHAITANYA COLLEGE OF ENGINEERING, KARIMNAGAR,

More information

Fixed Point Lms Adaptive Filter Using Partial Product Generator

Fixed Point Lms Adaptive Filter Using Partial Product Generator Fixed Point Lms Adaptive Filter Using Partial Product Generator Vidyamol S M.Tech Vlsi And Embedded System Ma College Of Engineering, Kothamangalam,India vidyas.saji@gmail.com Abstract The area and power

More information

SPIRO SOLUTIONS PVT LTD

SPIRO SOLUTIONS PVT LTD VLSI S.NO PROJECT CODE TITLE YEAR ANALOG AMS(TANNER EDA) 01 ITVL01 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control 02 ITVL02

More information

AN ERROR LIMITED AREA EFFICIENT TRUNCATED MULTIPLIER FOR IMAGE COMPRESSION

AN ERROR LIMITED AREA EFFICIENT TRUNCATED MULTIPLIER FOR IMAGE COMPRESSION AN ERROR LIMITED AREA EFFICIENT TRUNCATED MULTIPLIER FOR IMAGE COMPRESSION K.Mahesh #1, M.Pushpalatha *2 #1 M.Phil.,(Scholar), Padmavani Arts and Science College. *2 Assistant Professor, Padmavani Arts

More information

Efficient FIR Filter Design Using Modified Carry Select Adder & Wallace Tree Multiplier

Efficient FIR Filter Design Using Modified Carry Select Adder & Wallace Tree Multiplier Efficient FIR Filter Design Using Modified Carry Select Adder & Wallace Tree Multiplier Abstract An area-power-delay efficient design of FIR filter is described in this paper. In proposed multiplier unit

More information

An area optimized FIR Digital filter using DA Algorithm based on FPGA

An area optimized FIR Digital filter using DA Algorithm based on FPGA An area optimized FIR Digital filter using DA Algorithm based on FPGA B.Chaitanya Student, M.Tech (VLSI DESIGN), Department of Electronics and communication/vlsi Vidya Jyothi Institute of Technology, JNTU

More information