An Efficient FFT Design for OFDM Systems with MIMO support

Size: px
Start display at page:

Download "An Efficient FFT Design for OFDM Systems with MIMO support"

Transcription

1 An Efficient FFT Design for OFDM Systems with MIMO support Maheswari. Dasarathan, Dr. R. Seshasayanan Abstract This paper presents the implementation of FFT for OFDM systems to process the real time high speed data streams. It is based on Radix- 2 2 single path delay feedback architecture supporting single and multiple input data streams (MIMO). The proposed design also provides flexibility in adjusting the resolution of FFT computation. The supported FFT resolutions are 64, 256, 1024 and 4096 points. Efficiency is achieved by means of reducing a real multiplier in complex multiplication. The hardware description is developed using Verilog and synthesized using Xilinx Virtex 5 FPGA family, achieving an operating frequency of 157MHz for 1024 point FFT size. Keywords Fast Fourier Transform (FFT), Radix 2 2 Single Path Delay Feedback (SDF), Orthogonal Frequency Division Multiplexing (OFDM), Multiple-Input Multiple-Output (MIMO), configurable resolution M I. INTRODUCTION OBILE high speed application uses Orthogonal Frequency Division Multiplexing (OFDM), which is famous for its robustness against frequency selective fading channel. OFDM is a multi-carrier modulation scheme which divides a high bit rate data stream into multiple parallel low bit rate streams modulated to different carrier tones. The orthogonal property between the tones is achieved by selecting the sub-carries whose frequency satisfies the integer number of cycles in the symbol period, with inter-carrier spacing equal to the ratio of bandwidth, BW to the number of sub-carriers, N. OFDM uses multiple access technique called Orthogonal Frequency Division Multiple Access (OFDMA), where by multiple users are allocated different subsets of OFDM tones exploiting frequency diversity, multiple user diversity thus improving the system capacity [8]. It is observed that the OFDM signal is equivalent to the inverse discrete Fourier transform (IDFT) of data samples take N at a time, thus making the implementation of OFDM system easier in discrete time using inverse fast Fourier transform (IFFT) and fast Fourier transform (FFT). The size of FFT is determined by the key parameters like protection against Maheswari Dasarathan is with the College of Engineering, Anna University, Chennai, Tamil Nadu, India, pursuing the Masters in Applied Electronics ( mahe.dasarath@gmail.com). Dr. R. Seshasayanan is with the College of Engineering, Anna University, Chennai, Tamil Nadu, , India. He is the Assistant Professor with the Department of Electronics and Communication ( seshasayanan@annauniv.edu). multipath, Doppler shift, and design cost/complexity. Though larger FFT size reduces sub-carrier spacing yielding protection against multi-path delay spread, is vulnerable to inter-carrier interference owing to Doppler spread. Hence the selection of FFT size should balance the influence of all the key parameters. To enable the system to operate at high data rate applications like video streaming, with constrained power resource, low power techniques are adopted in the proposed design. Moreover OFDM systems aimed at interoperability the implementation with lesser gate count is inevitable. The support for different FFT resolutions becomes important when the OFDM receiving system experiences handovers across base stations operating at different bandwidths. The proposed design incorporates data reordering buffer, which enables the reuse of design for IFFT applications [6]. Also the design handles multiple data streams from different receive antennas, which make the design suitable for MIMO systems. Thus the proposed design is based on Radix 2 2 architecture with other significant features, making it efficient. The next section describes the architecture, followed by the support for MIMO 2Rx configuration in section III. Section IV describes the handling of different FFT sizes with the same hardware. Section V adds details on the inbuilt testability support. Section VI details the hardware implementation of the design in VERILOG Hardware Description Language. Finally we conclude with the synthesis results of 1K FFT. II. ARCHITECTURE A. Radix 2 2 FFT Algorithm The Discrete Fourier Transform (DFT) X(k), k=0,1,2, N-1 of a sequence x(n), n=0,1,2, N-1 is defined as: NN 1 XX(kk) = xx(nn). WW NN nnnn Where N is the transform size, j = 1 nn =0 (1) WW NN = ee jj 2ππ NN According to the decomposition method of [1] that done by substituting with, and 70

2 nn = NN 2 nn 1 + NN 4 nn 2 + nn 3 NN TABLE I TEST MODE SELECTION VIA IO PADS kk = kk 1 + 2kk 2 + 4kk 3 NN IO PAD[1:0] value Description This yield NN 4 1 nn 3.kk 3 2 b00 Normal Mode 2 b01 Mode with Impulse Input 2 b10 Mode with rectangle Input 2 b11 Mode with Ramp Input XX( kk 1 + 2kk 2 + 4kk 3 ) = HH(kk 1, kk 2, nn 3 ). WW NN nn 3 (kk 1 +2kk 2 ). WW NN 4 nn 3 =0 Where, (2) HH(kk 1, kk 2, nn 3 ) = xx(nn 3 ) + ( 1) kk 1xx nn 3 + NN 2 + ( jj) (kk 1+2kk 2 ) xx nn 3 + NN 4 + ( 1) kk 1. xx nn 3 + 3NN 4 (3) On simplification, the resultant is the set of four DFTs of length N/4. Each term in equation (3) represent a Radix-2 butterfly (BFI), and the whole equation also represents Radix- 2 butterfly (BFII) with the trivial multiplication by j. A hardware oriented Radix 2 2 algoritm is developed by integrating a twiddle factor decomposition technique in divide and conquer approach to the algorithm to the cascading delay feedback structure [2] [4]. III. MIMO The concept of MIMO (Multiple Input, Multiple outputs) is well applied in wireless communication to reduce the error rate by combining the signals from multiple receive chains as in Fig. 1. In order to support this feature the FFT module should handle the incoming signals from multiple receive chains separately [9]. In the proposal each Radix 2 2 butterfly, BF-I and BF-II shown in the diagram, include two separate buffers respective to the receive chains. Fig. 1 MIMO Model The proposed architecture is implemented for the samples of the input chains arrive at FFT in interleaved manner as shown in Fig. 2. This enables to distinguish the sample of the respective input chain and passed through the appropriate buffers provided in each of the butterfly stages. Fig. 2 Interleaved Data Stream for 2Rx IV. CONFIGURABLE FFT POINTS In order to target wide range of applications, it is necessary to have flexible configuration of FFT resolution [3]. Depending on the OFDM application domain the FFT size varies, ADSL (512 points), VDSL (8192/4096/2048/1024/512 points), DVB-T (2048/8192 points), DVB-H (4096 points), IEEE a (64 points), IEEE a WiMAX (256/512/1024 points) and LTE (128/256/512/1024/2048 points). The different FFT sizes involve different number of stages to transform the input samples. The proposed architecture deploys five stages required to support the maximum FFT size, 4096 points. To perform the transformation for the lower FFT size the input samples are fed directly to the i th FFT stage bypassing the initial stages as shown in Fig. 7. This gives the flexibility to support different FFT sizes with same hardware. V. INBUILT TEST SIGNAL GENERATION The proposed design includes test signal generation logic which is used as the input vector in the test mode. Impulse, rectangle and ramp signals are the supported test signals. Two IO PADs are required for supporting the test mode. The selection of test mode and the test signals are encoded as shown in Table I. This feature reduces the validation efforts, thus resulting in a smart testing at the cost of extra hardware. VI. HARDWARE ARCHITECTURE The hardware structure of single butterfly stage is shown in Fig. 3. Each stage has two input data bus each 10bits, for real and imaginary part of the sampled signal. 71

3 DRAIN state the input samples of the next set is not expected. Fig. 3 Single Butterfly stage hardware structure The BF I and BF II are the butterfly structures, controlled by the state machine BF-FSM shown in Fig.4. The Twiddle ROM addresses generation logic takes care of selecting the appropriate twiddle ROM for the configured FFT size. The sample counter counts the input sample and helps in proper state transitions of the butterfly state machines as shown in Fig.4. The complex multiplier is implemented using three real multipliers as shown in Fig.8. The samples are buffered at each butterfly structures inside the butterfly RAMs. The design is parameterized in order to support different FFT resolution. The parameters include FFT_MODE, FFT_SIZE, DATA_WIDTH, BFI_RAM_DEPTH, BFII_RAM_DEPTH, TW_ROM_DEPTH, etc. A. The Butterfly FSM The FFT controller state machine keep track of the sample count and undergo state transition across the stages: IDLE, STORE, COMPUTE and DRAIN. B. BF I and BF II structure with MIMO support The hardware architecture of BFI and BFII supporting two receive chains is shown in Fig.5 and Fig.6. In BFI structure the sample routing muxes and demuxes at the input and output of the BF_RAMs are controlled based on c2 and c3 control signals while the computation unit is controlled by c1 control signal. The control signals are issued by the BFI controller. Depending on the programming of number of receive chains the extra BF_RAMs are enabled. WiMAX supports 1Rx and 2Rx, LTE supports 1Rx, 2Rx and 4Rx. Based on the requirement extra buffers can be extended to the existing BF structure. Fig. 5 BFI structure with 2 Rx support Since the handling -1, +j and -j multiplication is handled inside the BFII structure, two control signals c1 and c2 are used in the basic computation unit. The muxes and the demuxes are controlled by c3 and c4 control signals. The product with -j term is implemented by swapping the real and imaginary part considering the sign of the sample. Fig. 4 Butterfly Controller State Machine When no samples arrive the state machine is in IDLE state. Until N/2 samples [0: N/2-1] the state machine keeps buffering the data in the BF_RAMs, and it said to be in STORE state. On the N/2 sample arrival the state machine transits to COMPUTE state where the buffered sample 0, say a, and the new sample N/2, say b, enters the computation part of the butterfly unit. The output of each computation cycle result in two output (a+b) and (a-b), out of which a+b leaves for the next stage, while a-b is buffered again in the same location in the BF_RAM. This computation continues till N-1th sample. From (N-1) to (N+N/2-1) the state machine enters the DRAIN state where the already computed values inside the buffer gets drained out. During this phase the counter keeps running based on the FFT clock. During the Fig. 6 BFII structure with 2 Rx support 72

4 Fig.7 FFT Hardware supporting multiple resolutions stages [7]. C. Simplification to Complex Multiplication The complex multiplication is implemented using three real multipliers [5], thus reducing the hardware and the power. The simplification is as follows. A+ jb = (X+ jy) (L+ jm) Real Part: A = ( L M ) Y + L ( X Y ) Imaginary Part: B = ( L + M ) X - L ( X - Y ) Fig. 8 Complex Multiplier Hardware VII. RESULTS AND DISCUSSIONS The design is implemented using Xilinx ISE 12.1 tools for synthesis in a Virtex 5 FPGA and simulation. The synthesis results are shown in Table I and II. The maximum operating frequency of 157MHz is achieved for 1K FFT implementation. The RTL implementation was verified with the MATLAB model for different input data. The performance of the proposed design is analyzed at data width set to 10bits. For applications requiring better bit error rate (BER) a higher data width can be selected. The synthesis snapshots are added in Fig.9 and Fig.10. Fig. 9 Synthesis Snapshot TABLE II DEVICE UTILIZATION SUMMARY ON 5VLX220TFF Logic Utilization Used Available Utilization Number of slice registers % Number of slice LUTs % Number of Bounded IOs 4 6 6% Number of Block RAM/FIFOs % Number of BUFG/BUFGCTRLs % Number of DSP48Es % VIII. CONCLUSION AND FUTURE WORK This paper presented a very efficient FFT architecture based on Radix 2 2 algorithm. A fully pipelined processing core of configurable FFT resolutions 64-point, 256-point, point and 4096 point FFT sizes. The synthesis results demonstrate the high operating frequency and low latencies for both FPGA and VLSI implementations. Future work includes the improvement to current architecture with low power design methodologies [3] like clock gating and using single twiddle table across all FFT TABLE III TIMING SUMMARY ON 5VLX220TFF Timing Summary Maximum Frequency Maximum Period Minimum Input arrival time Minimum output required time after clock Hz/ns MHz 6.346ns 2.561ns 2.826ns 73

5 Fig. 10 Design Summary REFERENCES [1] S. He and M. Torkelson, A new approach to pipeline FFT processor, in Proc. 10 th Int. Parallel Processing Symp./ 1996, pp [2] K. Harikrishna, T. Rama Rao, and Vladimir A. Labay, FPGA Implementation of FFT Algorithm for IEEE e (Mobile WiMAX), International Journal of Computer Theory and Engineering, vol. 3, No. 2, April [3] Muniandi Kannan, and Srinivasa Srivatsa, Hardware Implementation Low Power High Speet FFT Core, International Arab Journal of Information Technology, vol. 6. No. 1, January [4] Ahmed Saeed, M. Elbably, G. Abdelfadeel, and M. I. Eladawy, Efficient FPGA implementation of FFT/IFFT Processor, International Journal of circuits, systems and signal processing, vol. 3, [5] Siva Kumar Palaniappan, and Tun Zainal Azni Zulkifli, Design of 16- point Fast Fourier Transform in 0.18um CMOS Technology, American Journal of Applied Sciences 4(8); , [6] M. Mohamed Ismail, M. J. S. Rangachar, and Ch. D. V. Paradesi Rao, An Area Efficient Mixed-Radix 4-2 Butterfly with Bit Reversal for OFDM Application, European Journal of Scientific Research, ISBN X vol. 40 No. 4 (2010), pp [7] Chen- Fong Hsiao, Yuan Chen Member IEEE and Chen-Yi Lee, Memebr IEEE, A Generalized Mixed-Radix Algorithm for Memory- Based FFT Processors, IEEE Transl. II:Ecpress Briefs,, vol. 57, January [8] Jeffrey G. Andrews, Arunabha Gosh, Rias Muhamed, Fundamentals of WiMAX Understanding Broadband Wireless Networking, Published by Pearson Education, Inc, publishing as Prentice Hall, copyright 2007 D. Maheswari was born in the year 1984 in India. Currently she is pursuing her Masters in Applied Electronics in College of Engineering, Guindy, Anna University. She earned B.E in Electronics and communication from Anna University in She has work experience in Semiconductor Industry as Front End Design and Verification engineer. She has worked on SoCs developed for Networking, Automobile and Mobile applications. Her areas of interests include Mobile Communication, Signal Processing, Microprocessors, Micro controllers, Power PC processors and JTAG. Dr. R. Seshasayanan was born in the year 1958 in India and received his B.E degree from College of Engineering, M.E. degree from Anna University in the year 1980 and 1983 respectively. He received his PhD from Anna University. He is presently working as Assistant Professor in the Department of Electronics and Communication, Anna University, and his area of interests are VLSI Design, Reconfigurable architecture and Low power design. 74

VLSI Implementation of Area-Efficient and Low Power OFDM Transmitter and Receiver

VLSI Implementation of Area-Efficient and Low Power OFDM Transmitter and Receiver Indian Journal of Science and Technology, Vol 8(18), DOI: 10.17485/ijst/2015/v8i18/63062, August 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 VLSI Implementation of Area-Efficient and Low Power

More information

A FFT/IFFT Soft IP Generator for OFDM Communication System

A FFT/IFFT Soft IP Generator for OFDM Communication System A FFT/IFFT Soft IP Generator for OFDM Communication System Tsung-Han Tsai, Chen-Chi Peng and Tung-Mao Chen Department of Electrical Engineering, National Central University Chung-Li, Taiwan Abstract: -

More information

Design of FFT Algorithm in OFDM Communication System

Design of FFT Algorithm in OFDM Communication System T. Chandra Sekhar et al Int. Journal of Engineering Research and Applications RESEARCH ARTICLE OPEN ACCESS Design of FFT Algorithm in OFDM Communication System Baddi.Yedukondalu, Valluri.Jaganmohanrao,

More information

Area Efficient Fft/Ifft Processor for Wireless Communication

Area Efficient Fft/Ifft Processor for Wireless Communication IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 3, Ver. III (May-Jun. 2014), PP 17-21 e-issn: 2319 4200, p-issn No. : 2319 4197 Area Efficient Fft/Ifft Processor for Wireless Communication

More information

EFFICIENT DESIGN OF FFT/IFFT PROCESSOR USING VERILOG HDL

EFFICIENT DESIGN OF FFT/IFFT PROCESSOR USING VERILOG HDL EFFICIENT DESIGN OF FFT/IFFT PROCESSOR USING VERILOG HDL M. SRIDHANYA (1), MRS. G. ANNAPURNA (2) M.TECH, VLSI SYSTEM DESIGN, VIDYA JYOTHI INSTITUTE OF TECHNOLOGY (1) M.TECH, ASSISTANT PROFESSOR, VIDYA

More information

An Area Efficient FFT Implementation for OFDM

An Area Efficient FFT Implementation for OFDM Vol. 2, Special Issue 1, May 20 An Area Efficient FFT Implementation for OFDM R.KALAIVANI#1, Dr. DEEPA JOSE#1, Dr. P. NIRMAL KUMAR# # Department of Electronics and Communication Engineering, Anna University

More information

VLSI Implementation of Pipelined Fast Fourier Transform

VLSI Implementation of Pipelined Fast Fourier Transform ISSN: 2278 323 Volume, Issue 4, June 22 VLSI Implementation of Pipelined Fast Fourier Transform K. Indirapriyadarsini, S.Kamalakumari 2, G. Prasannakumar 3 Swarnandhra Engineering College &2, Vishnu Institute

More information

IMPLEMENTATION OF 64-POINT FFT/IFFT BY USING RADIX-8 ALGORITHM

IMPLEMENTATION OF 64-POINT FFT/IFFT BY USING RADIX-8 ALGORITHM Int. J. Elec&Electr.Eng&Telecoms. 2013 K Venkata Subba Reddy and K Bala, 2013 Research Paper ISSN 2319 2518 www.ijeetc.com Vol. 2, No. 4, October 2013 2013 IJEETC. All Rights Reserved IMPLEMENTATION OF

More information

Publication of Little Lion Scientific R&D, Islamabad PAKISTAN

Publication of Little Lion Scientific R&D, Islamabad PAKISTAN FPGA IMPLEMENTATION OF SCALABLE BANDWIDTH SINGLE CARRIER FREQUENCY DOMAIN MULTIPLE ACCESS TRANSCEIVER FOR THE FOURTH GENERATION WIRELESS COMMUNICATION 1 DHIRENDRA KUMAR TRIPATHI, S. ARULMOZHI NANGAI, 2

More information

A SURVEY ON FFT/IFFT PROCESSOR FOR HIGH SPEED WIRELESS COMMUNICATION SYSTEM

A SURVEY ON FFT/IFFT PROCESSOR FOR HIGH SPEED WIRELESS COMMUNICATION SYSTEM A SURVEY ON FFT/IFFT PROCESSOR FOR HIGH SPEED WIRELESS COMMUNICATION SYSTEM K. Vijayakanthan and M. Anand Dr. M. G. R Educational and Research Institute University, Chennai, India E-Mail: vijayakanthank@gmail.com

More information

DESIGN AND IMPLEMENTATION OF MOBILE WiMAX (IEEE e) PHYSICAL LAYERUSING FPGA

DESIGN AND IMPLEMENTATION OF MOBILE WiMAX (IEEE e) PHYSICAL LAYERUSING FPGA DESIGN AND IMPLEMENTATION OF MOBILE WiMAX (IEEE 802.16e) PHYSICAL LAYERUSING FPGA 1 Shailaja S, 2 DeepaM 1 M.E VLSI DESIGN, 2 Assistant Professor, Kings college of Engineering,Thanjavur, Tamilnadu, India.

More information

Optimized BPSK and QAM Techniques for OFDM Systems

Optimized BPSK and QAM Techniques for OFDM Systems I J C T A, 9(6), 2016, pp. 2759-2766 International Science Press ISSN: 0974-5572 Optimized BPSK and QAM Techniques for OFDM Systems Manikandan J.* and M. Manikandan** ABSTRACT A modulation is a process

More information

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA.

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA. Volume 4, Issue 11, November 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Future to

More information

A Low Power Pipelined FFT/IFFT Processor for OFDM Applications

A Low Power Pipelined FFT/IFFT Processor for OFDM Applications A Low Power Pipelined FFT/IFFT Processor for OFDM Applications M. Jasmin 1 Asst. Professor, Bharath University, Chennai, India 1 ABSTRACT: To produce multiple subcarriers orthogonal frequency division

More information

A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS

A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS Ms. P. P. Neethu Raj PG Scholar, Electronics and Communication Engineering, Vivekanadha College of Engineering for Women, Tiruchengode, Tamilnadu,

More information

PIPELINED FAST FOURIER TRANSFORM FOR LOW POWER OFDM BASED APPLICATIONS

PIPELINED FAST FOURIER TRANSFORM FOR LOW POWER OFDM BASED APPLICATIONS Volume 116 No. 23 2017, 371-376 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu PIPELINED FAST FOURIER TRANSFORM FOR LOW POWER OFDM BASED APPLICATIONS

More information

An FPGA Based Low Power Multiplier for FFT in OFDM Systems Using Precomputations

An FPGA Based Low Power Multiplier for FFT in OFDM Systems Using Precomputations An FPGA Based Low Power Multiplier for FFT in OFDM Systems Using Precomputations Mokhtar Aboelaze Dept of Electrical Engineering and Computer Science Lassonde School of Engineering York University Toronto

More information

OFDM Based Low Power Secured Communication using AES with Vedic Mathematics Technique for Military Applications

OFDM Based Low Power Secured Communication using AES with Vedic Mathematics Technique for Military Applications OFDM Based Low Power Secured Communication using AES with Vedic Mathematics Technique for Military Applications Elakkiya.V 1, Sharmila.S 2, Swathi Priya A.S 3, Vinodha.K 4 1,2,3,4 Department of Electronics

More information

M.Tech Student, Asst Professor Department Of Eelectronics and Communications, SRKR Engineering College, Andhra Pradesh, India

M.Tech Student, Asst Professor Department Of Eelectronics and Communications, SRKR Engineering College, Andhra Pradesh, India Computational Performances of OFDM using Different Pruned FFT Algorithms Alekhya Chundru 1, P.Krishna Kanth Varma 2 M.Tech Student, Asst Professor Department Of Eelectronics and Communications, SRKR Engineering

More information

High Performance Fbmc/Oqam System for Next Generation Multicarrier Wireless Communication

High Performance Fbmc/Oqam System for Next Generation Multicarrier Wireless Communication IOSR Journal of Engineering (IOSRJE) ISS (e): 50-0, ISS (p): 78-879 PP 5-9 www.iosrjen.org High Performance Fbmc/Oqam System for ext Generation Multicarrier Wireless Communication R.Priyadharshini, A.Savitha,

More information

Fast Fourier Transform: VLSI Architectures

Fast Fourier Transform: VLSI Architectures Fast Fourier Transform: VLSI Architectures Lecture Vladimir Stojanović 6.97 Communication System Design Spring 6 Massachusetts Institute of Technology Cite as: Vladimir Stojanovic, course materials for

More information

A Novel Approach in Pipeline Architecture for 64-Point FFT Processor without ROM

A Novel Approach in Pipeline Architecture for 64-Point FFT Processor without ROM A Novel Approach in Pipeline Architecture for 64-Point FFT Processor without ROM A.Manimaran, Dr.S.K.Sudheer, Manu.K.Harshan Associate Professor, Department of ECE, Karpaga Vinayaga College of Engineering

More information

DESIGN, IMPLEMENTATION AND OPTIMISATION OF 4X4 MIMO-OFDM TRANSMITTER FOR

DESIGN, IMPLEMENTATION AND OPTIMISATION OF 4X4 MIMO-OFDM TRANSMITTER FOR DESIGN, IMPLEMENTATION AND OPTIMISATION OF 4X4 MIMO-OFDM TRANSMITTER FOR COMMUNICATION SYSTEMS Abstract M. Chethan Kumar, *Sanket Dessai Department of Computer Engineering, M.S. Ramaiah School of Advanced

More information

Design of Reconfigurable FFT Processor With Reduced Area And Power

Design of Reconfigurable FFT Processor With Reduced Area And Power Design of Reconfigurable FFT Processor With Reduced Area And Power 1 Sharon Thomas & 2 V Sarada 1 Dept. of VLSI Design, 2 Department of ECE, 1&2 SRM University E-mail : Sharonthomas05@gmail.com Abstract

More information

Partial Reconfigurable Implementation of IEEE802.11g OFDM

Partial Reconfigurable Implementation of IEEE802.11g OFDM Indian Journal of Science and Technology, Vol 7(4S), 63 70, April 2014 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Partial Reconfigurable Implementation of IEEE802.11g OFDM S. Sivanantham 1*, R.

More information

Practical issue: Group definition. TSTE17 System Design, CDIO. Quadrature Amplitude Modulation (QAM) Components of a digital communication system

Practical issue: Group definition. TSTE17 System Design, CDIO. Quadrature Amplitude Modulation (QAM) Components of a digital communication system 1 2 TSTE17 System Design, CDIO Introduction telecommunication OFDM principle How to combat ISI How to reduce out of band signaling Practical issue: Group definition Project group sign up list will be put

More information

FPGA Implementation of a Novel Efficient Vedic FFT/IFFT Processor For OFDM

FPGA Implementation of a Novel Efficient Vedic FFT/IFFT Processor For OFDM FPGA Implementation of a Novel Efficient Vedic FFT/IFFT Processor For OFDM Nisha John 1, Prof. Sadanandan G.K 2 PG Student, Dept of ECE, Toc H Institute of Science and Technology, Cochin, Kerala, India

More information

Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5

Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5 Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5 Bharti Gondhalekar, Rajesh Bansode, Geeta Karande, Devashree Patil Abstract OFDM offers high spectral efficiency and resilience to multipath

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

Vol. 4, No. 4 April 2013 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved.

Vol. 4, No. 4 April 2013 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved. FPGA Implementation Platform for MIMO- Based on UART 1 Sherif Moussa,, 2 Ahmed M.Abdel Razik, 3 Adel Omar Dahmane, 4 Habib Hamam 1,3 Elec and Comp. Eng. Department, Université du Québec à Trois-Rivières,

More information

ULTRAWIDEBAND (UWB) communication systems,

ULTRAWIDEBAND (UWB) communication systems, 1726 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 8, AUGUST 2005 A 1-GS/s FFT/IFFT Processor for UWB Applications Yu-Wei Lin, Hsuan-Yu Liu, and Chen-Yi Lee, Member, IEEE Abstract In this paper, we

More information

ISSN Vol.07,Issue.01, January-2015, Pages:

ISSN Vol.07,Issue.01, January-2015, Pages: ISSN 2348 2370 Vol.07,Issue.01, January-2015, Pages:0073-0081 www.ijatir.org MDC FFT/IFFT Processor with Variable Length for MIMO-OFDM Systems VEMU SHIRDI SAIPRABHU 1, P.GOPALA REDDY 2 1 PG Scholar, Sri

More information

Implementation of OFDM System Using FFT and IFFT

Implementation of OFDM System Using FFT and IFFT Implementation of OFDM System Using FFT and IFFT Ajay Kumar Mukiri PG Scholar, Dept of Electronics and Communication Engineering, Rao & Naidu Engineering College, AP, India. Siddavarapu Anil Kumar Assistant

More information

Architecture for Canonic RFFT based on Canonic Sign Digit Multiplier and Carry Select Adder

Architecture for Canonic RFFT based on Canonic Sign Digit Multiplier and Carry Select Adder Architecture for Canonic based on Canonic Sign Digit Multiplier and Carry Select Adder Pradnya Zode Research Scholar, Department of Electronics Engineering. G.H. Raisoni College of engineering, Nagpur,

More information

OFDM and FFT. Cairo University Faculty of Engineering Department of Electronics and Electrical Communications Dr. Karim Ossama Abbas Fall 2010

OFDM and FFT. Cairo University Faculty of Engineering Department of Electronics and Electrical Communications Dr. Karim Ossama Abbas Fall 2010 OFDM and FFT Cairo University Faculty of Engineering Department of Electronics and Electrical Communications Dr. Karim Ossama Abbas Fall 2010 Contents OFDM and wideband communication in time and frequency

More information

ASDF India Proceedings of the Intl. Conf. on Innovative trends in Electronics Communication and Applications

ASDF India Proceedings of the Intl. Conf. on Innovative trends in Electronics Communication and Applications ASDF India Proceedings of the Intl. Conf. on Innovative trends in Electronics Communication and Applications 2014 169 Efficient Design of FFT Module Using Dual Edge Triggered Flip Flop and Clock Gating.

More information

Low power and Area Efficient MDC based FFT for Twin Data Streams

Low power and Area Efficient MDC based FFT for Twin Data Streams RESEARCH ARTICLE OPEN ACCESS Low power and Area Efficient MDC based FFT for Twin Data Streams M. Hemalatha 1, R. Ashok Chaitanya Varma 2 1 ( M.Tech -VLSID Student, Department of Electronics and Communications

More information

VHDL Design of OFDM Transreceiver Chip using Variable FFT

VHDL Design of OFDM Transreceiver Chip using Variable FFT Journal of Selected Areas in Microelectronics (JSAM) Singaporean Journal of Scientific Research(SJSR) Vol5.No.2 2013 Pp. 47-58 available at:www.iaaet.org/sjsr Paper Received :05-09-2013 Paper Accepted:18-10-2013

More information

Hardware Implementation of OFDM Transceiver. Authors Birangal U. M 1, Askhedkar A. R 2 1,2 MITCOE, Pune, India

Hardware Implementation of OFDM Transceiver. Authors Birangal U. M 1, Askhedkar A. R 2 1,2 MITCOE, Pune, India ABSTRACT International Journal Of Scientific Research And Education Volume 3 Issue 9 Pages-4564-4569 October-2015 ISSN (e): 2321-7545 Website: http://ijsae.in DOI: http://dx.doi.org/10.18535/ijsre/v3i10.09

More information

Combination of SDC-SDF Architecture for I/O Pipelined Radix-2 FFT

Combination of SDC-SDF Architecture for I/O Pipelined Radix-2 FFT Combination of SDC-SDF Architecture for I/O Pipelined Radix-2 FFT G.Chandrabrahmini M.Tech Student, Stanley Stephen College of Engineering & Technology, Panchalingala, Kurnool - 518004. A.P. N.Praveen

More information

A Novel Reconfigurable OFDM Based Digital Modulator

A Novel Reconfigurable OFDM Based Digital Modulator A Novel Reconfigurable OFDM Based Digital Modulator Arunachalam V 1, Rahul Kshirsagar 2, Purnendu Debnath 3, Anand Mehta 4, School of Electronics Engineering, VIT University, Vellore - 632014, Tamil Nadu,

More information

OFDM TRANSMISSION AND RECEPTION: REVIEW

OFDM TRANSMISSION AND RECEPTION: REVIEW OFDM TRANSMISSION AND RECEPTION: REVIEW Amit Saini 1, Vijaya Bhandari 2 1M.tech Scholar, ECE Department, B.T.K.I.T. Dwarahat, Uttarakhand, India 2Assistant Professor, ECE Department, B.T.K.I.T. Dwarahat,

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

A Dynamic Reconcile Algorithm for Address Generator in Wimax Deinterleaver

A Dynamic Reconcile Algorithm for Address Generator in Wimax Deinterleaver A Dynamic Reconcile Algorithm for Address Generator in Wimax Deinterleaver Kavya J Mohan 1, Riboy Cheriyan 2 M Tech Scholar, Dept. of Electronics and Communication, SAINTGITS College of Engineering, Kottayam,

More information

Design of COFDM Transceiver Using VHDL

Design of COFDM Transceiver Using VHDL Design of COFDM Transceiver Using VHDL Hemant Kumar Sharma Research Scholar Sanjay P. Sood HOD, ACS, HI & Electronics Division Balwinder Singh Design Engineer ABSTRACT OFDM is combined with channel coding

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

Performance Evaluation of OFDM System with Rayleigh, Rician and AWGN Channels

Performance Evaluation of OFDM System with Rayleigh, Rician and AWGN Channels Performance Evaluation of OFDM System with Rayleigh, Rician and AWGN Channels Abstract A Orthogonal Frequency Division Multiplexing (OFDM) scheme offers high spectral efficiency and better resistance to

More information

International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN INTERNATIONAL JOURNAL OF ELECTRONICS AND

International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN INTERNATIONAL JOURNAL OF ELECTRONICS AND INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) ISSN 0976 6464(Print) ISSN 0976 6472(Online) Volume 3, Issue 3, October- December (2012), pp. 265-279 IAEME: www.iaeme.com/ijecet.asp

More information

Anju 1, Amit Ahlawat 2

Anju 1, Amit Ahlawat 2 Implementation of OFDM based Transreciever for IEEE 802.11A on FPGA Anju 1, Amit Ahlawat 2 1 Hindu College of Engineering, Sonepat 2 Shri Baba Mastnath Engineering College Rohtak Abstract This paper focus

More information

Comparison of ML and SC for ICI reduction in OFDM system

Comparison of ML and SC for ICI reduction in OFDM system Comparison of and for ICI reduction in OFDM system Mohammed hussein khaleel 1, neelesh agrawal 2 1 M.tech Student ECE department, Sam Higginbottom Institute of Agriculture, Technology and Science, Al-Mamon

More information

Performance analysis of MISO-OFDM & MIMO-OFDM Systems

Performance analysis of MISO-OFDM & MIMO-OFDM Systems Performance analysis of MISO-OFDM & MIMO-OFDM Systems Kavitha K V N #1, Abhishek Jaiswal *2, Sibaram Khara #3 1-2 School of Electronics Engineering, VIT University Vellore, Tamil Nadu, India 3 Galgotias

More information

Reconfigurable Architecture based on FPGA for OFDM Transmitter

Reconfigurable Architecture based on FPGA for OFDM Transmitter Reconfigurable Architecture based on FPGA for OFDM Transmitter L. Orozco-Galvan, R. Parra-Michel Dept. of Electrical Engineering CINVESTAV-GDL Zapopan, Jalisco, México {lorozco, rparra}@gdl.cinvestav.mx

More information

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO ENVIRONMENTS FOR 4G LTE SYSTEMS Dr. R. Shantha Selva Kumari 1 and M. Aarti Meena 2 1 Department of Electronics and Communication Engineering,

More information

Improving Channel Estimation in OFDM System Using Time Domain Channel Estimation for Time Correlated Rayleigh Fading Channel Model

Improving Channel Estimation in OFDM System Using Time Domain Channel Estimation for Time Correlated Rayleigh Fading Channel Model International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 8 ǁ August 2013 ǁ PP.45-51 Improving Channel Estimation in OFDM System Using Time

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November-2014 1470 Design and implementation of an efficient OFDM communication using fused floating point FFT Pamidi Lakshmi

More information

Performance Evaluation of IEEE STD d Transceiver

Performance Evaluation of IEEE STD d Transceiver IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 2 (May. - Jun. 2013), PP 21-26 Performance Evaluation of IEEE STD 802.16d Transceiver

More information

Orthogonal frequency division multiplexing (OFDM)

Orthogonal frequency division multiplexing (OFDM) Orthogonal frequency division multiplexing (OFDM) OFDM was introduced in 1950 but was only completed in 1960 s Originally grew from Multi-Carrier Modulation used in High Frequency military radio. Patent

More information

DESIGN AND IMPLEMENTATION OF OFDM TRANSCEIVER FOR ISI REDUCTION USING OQPSK MODULATION

DESIGN AND IMPLEMENTATION OF OFDM TRANSCEIVER FOR ISI REDUCTION USING OQPSK MODULATION Indian Journal of Communications Technology and Electronics (IJCTE) Vol.2.No.1 2014pp 33-39 available at: www.goniv.com Paper Received :05-03-2014 Paper Published:28-03-2014 Paper Reviewed by: 1. John

More information

Bit Error Rate Analysis of OFDM

Bit Error Rate Analysis of OFDM Bit Error Rate Analysis of OFDM Nishu Baliyan 1, Manish Verma 2 1 M.Tech Scholar, Digital Communication Sobhasaria Engineering College (SEC), Sikar (Rajasthan Technical University) (RTU), Rajasthan India

More information

Figure 1: Basic OFDM Model. 2013, IJARCSSE All Rights Reserved Page 1035

Figure 1: Basic OFDM Model. 2013, IJARCSSE All Rights Reserved Page 1035 Volume 3, Issue 6, June 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com New ICI Self-Cancellation

More information

Design of Multimode Deinterleaver for different Wireless Communication Standards

Design of Multimode Deinterleaver for different Wireless Communication Standards Design of Multimode Deinterleaver for different Wireless Communication Standards Sarath Mohan K P 1, Sudeep Vasudevan 2 1 M.Tech Student, Department of Electronics and Communication Engineering SCMS School

More information

Vector Arithmetic Logic Unit Amit Kumar Dutta JIS College of Engineering, Kalyani, WB, India

Vector Arithmetic Logic Unit Amit Kumar Dutta JIS College of Engineering, Kalyani, WB, India Vol. 2 Issue 2, December -23, pp: (75-8), Available online at: www.erpublications.com Vector Arithmetic Logic Unit Amit Kumar Dutta JIS College of Engineering, Kalyani, WB, India Abstract: Real time operation

More information

Rajesh S. Bansode Assistant professor, TCET Kandivali, Mumbai

Rajesh S. Bansode Assistant professor, TCET Kandivali, Mumbai ISSN: 2278 909X All Rights Reserved 2014 IJARECE 1142 Implementation of MIMO- 8x8 OFDM simulink model to enhance channel capacity and its realization using FPGA veritex 5 Devashree H. Patil ME Student,

More information

Flexible M-QAM Modulator and ScalableFFT/IFFT: Design and Implementation for a SDRMulti-carrier Transmitter with Link Adaptation

Flexible M-QAM Modulator and ScalableFFT/IFFT: Design and Implementation for a SDRMulti-carrier Transmitter with Link Adaptation Aalborg Universitet Flexible M-AM Modulator and ScalableFFT/FFT: Design and mplementation for a SDRMulti-carrier Transmitter with Link Adaptation Lal, Shradha; Kaur Warar, Shabanpreet; Popp, Andreas; Le

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

ENHANCED BANDWIDTH EFFICIENCY IN WIRELESS OFDMA SYSTEMS THROUGH ADAPTIVE SLOT ALLOCATION ALGORITHM

ENHANCED BANDWIDTH EFFICIENCY IN WIRELESS OFDMA SYSTEMS THROUGH ADAPTIVE SLOT ALLOCATION ALGORITHM ENHANCED BANDWIDTH EFFICIENCY IN WIRELESS OFDMA SYSTEMS THROUGH ADAPTIVE SLOT ALLOCATION ALGORITHM K.V. N. Kavitha 1, Siripurapu Venkatesh Babu 1 and N. Senthil Nathan 2 1 School of Electronics Engineering,

More information

A Smart Grid System Based On Cloud Cognitive Radio Using Beamforming Approach In Wireless Sensor Network

A Smart Grid System Based On Cloud Cognitive Radio Using Beamforming Approach In Wireless Sensor Network IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735 PP 48-53 www.iosrjournals.org A Smart Grid System Based On Cloud Cognitive Radio Using Beamforming

More information

A Combined SDC-SDF Architecture for Normal I/O Pipelined Radix-2 FFT

A Combined SDC-SDF Architecture for Normal I/O Pipelined Radix-2 FFT IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 A Combined SDC-SDF Architecture for Normal I/O Pipelined Radix-2 FFT Zeke Wang, Xue Liu, Bingsheng He, and Feng Yu Abstract We present

More information

An Efficient Design of Parallel Pipelined FFT Architecture

An Efficient Design of Parallel Pipelined FFT Architecture www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 3, Issue 10 October, 2014 Page No. 8926-8931 An Efficient Design of Parallel Pipelined FFT Architecture Serin

More information

A WiMAX/LTE Compliant FPGA Implementation of a High-Throughput Low-Complexity 4x4 64-QAM Soft MIMO Receiver

A WiMAX/LTE Compliant FPGA Implementation of a High-Throughput Low-Complexity 4x4 64-QAM Soft MIMO Receiver A WiMAX/LTE Compliant FPGA Implementation of a High-Throughput Low-Complexity 4x4 64-QAM Soft MIMO Receiver Vadim Smolyakov 1, Dimpesh Patel 1, Mahdi Shabany 1,2, P. Glenn Gulak 1 The Edward S. Rogers

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

Area OptimizedHighThroughputIDMWTDMWTProcessorforOFDMonVirtex-5FPGA. Area Optimized High Throughput IDMWT/DMWT Processor for OFDM on Virtex-5 FPGA

Area OptimizedHighThroughputIDMWTDMWTProcessorforOFDMonVirtex-5FPGA. Area Optimized High Throughput IDMWT/DMWT Processor for OFDM on Virtex-5 FPGA lobal Journal of Researches in Engineering Electrical and Electronics Engineering Volume Issue 9 Version. Year Type: Double Blind Peer Reviewed International Research Journal Publisher: lobal Journals

More information

Low Power Efficient MIMO-OFDM Design for n WLAN System

Low Power Efficient MIMO-OFDM Design for n WLAN System Low Power Efficient MIMO-OFDM Design for 802.11n WLAN System L.P. Thakare Research Scholar, Department of Electronics Engineering, G.H.Raisoni College of Engineering, Nagpur Dr.Amol.Y.Deshmukh Professor,

More information

Lecture 3: Wireless Physical Layer: Modulation Techniques. Mythili Vutukuru CS 653 Spring 2014 Jan 13, Monday

Lecture 3: Wireless Physical Layer: Modulation Techniques. Mythili Vutukuru CS 653 Spring 2014 Jan 13, Monday Lecture 3: Wireless Physical Layer: Modulation Techniques Mythili Vutukuru CS 653 Spring 2014 Jan 13, Monday Modulation We saw a simple example of amplitude modulation in the last lecture Modulation how

More information

Design of an Optimized FBMC Transmitter by using Clock Gating Technique based QAM for Low Area, Power and High Speed Applications

Design of an Optimized FBMC Transmitter by using Clock Gating Technique based QAM for Low Area, Power and High Speed Applications International Journal of Applied Engineering Research ISSN 0973-4562 Volume 3, Number 6 (20) pp. 3767-377 Design of an Optimized FBMC by using Clock Gating Technique based for Low Area, Power and High

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

High Speed & High Frequency based Digital Up/Down Converter for WCDMA System

High Speed & High Frequency based Digital Up/Down Converter for WCDMA System High Speed & High Frequency based Digital Up/Down Converter for WCDMA System Arun Raj S.R Department of Electronics & Communication Engineering University B.D.T College of Engineering Davangere-Karnataka,

More information

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator www.semargroups.org, www.ijsetr.com ISSN 2319-8885 Vol.02,Issue.10, September-2013, Pages:984-988 Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator MISS ANGEL

More information

Fast Fourier Transform utilizing Modified 4:2 & 7:2 Compressor

Fast Fourier Transform utilizing Modified 4:2 & 7:2 Compressor International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 11, Issue 05 (May 2015), PP.23-28 Fast Fourier Transform utilizing Modified 4:2

More information

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 07, 2015 ISSN (online): 2321-0613 Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse

More information

Maximum Likelihood CFO Estimation in OFDM Based Communication Systems

Maximum Likelihood CFO Estimation in OFDM Based Communication Systems Maximum Likelihood CFO Estimation in OFDM Based Communication Systems Yetera B. Bereket, K. Langat, and Edward K. Ndungu 1 Abstract - Orthogonal Frequency Division Multiplexing (OFDM) is a promising technique

More information

CHAPTER 4 GALS ARCHITECTURE

CHAPTER 4 GALS ARCHITECTURE 64 CHAPTER 4 GALS ARCHITECTURE The aim of this chapter is to implement an application on GALS architecture. The synchronous and asynchronous implementations are compared in FFT design. The power consumption

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

ISSN: (PRINT) ISSN: (ONLINE)

ISSN: (PRINT) ISSN: (ONLINE) Low Power and High Speed Adaptive OFDM System Using FPGA Jatender Kumar Verma 1, K.K. Verma 2 1 Mtech Scholar, DPG Institute of technology & Management, Gurgaon 2 Assistant Professor, DPG Institute of

More information

Channel Estimation by 2D-Enhanced DFT Interpolation Supporting High-speed Movement

Channel Estimation by 2D-Enhanced DFT Interpolation Supporting High-speed Movement Channel Estimation by 2D-Enhanced DFT Interpolation Supporting High-speed Movement Channel Estimation DFT Interpolation Special Articles on Multi-dimensional MIMO Transmission Technology The Challenge

More information

DESIGN AND IMPLEMENTATION OF FFT ARCHITECTURE FOR REAL-VALUED SIGNALS BASED ON RADIX-2 3 ALGORITHM

DESIGN AND IMPLEMENTATION OF FFT ARCHITECTURE FOR REAL-VALUED SIGNALS BASED ON RADIX-2 3 ALGORITHM DESIGN AND IMPLEMENTATION OF FFT ARCHITECTURE FOR REAL-VALUED SIGNALS BASED ON RADIX-2 3 ALGORITHM 1 Pradnya Zode, 2 A.Y. Deshmukh and 3 Abhilesh S. Thor 1,3 Assistnant Professor, Yeshwantrao Chavan College

More information

A High-Speed Low-Complexity Modified Processor for High Rate WPAN Applications

A High-Speed Low-Complexity Modified Processor for High Rate WPAN Applications IEEE TRASACTIOS O VERY LARGE SCALE ITEGRATIO (VLSI) SYSTEMS, VOL. 21, O. 1, JAUARY 2013 187 [4] J. A. de Lima and C. Dualibe, A linearly tunable low-voltage CMOS transconductor with improved common-mode

More information

Hardware implementation of Zero-force Precoded MIMO OFDM system to reduce BER

Hardware implementation of Zero-force Precoded MIMO OFDM system to reduce BER Hardware implementation of Zero-force Precoded MIMO OFDM system to reduce BER Deepak Kumar S Nadiger 1, Meena Priya Dharshini 2 P.G. Student, Department of Electronics & communication Engineering, CMRIT

More information

Chapter 0 Outline. NCCU Wireless Comm. Lab

Chapter 0 Outline. NCCU Wireless Comm. Lab Chapter 0 Outline Chapter 1 1 Introduction to Orthogonal Frequency Division Multiplexing (OFDM) Technique 1.1 The History of OFDM 1.2 OFDM and Multicarrier Transmission 1.3 The Applications of OFDM 2 Chapter

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

4x4 Time-Domain MIMO encoder with OFDM Scheme in WIMAX Context

4x4 Time-Domain MIMO encoder with OFDM Scheme in WIMAX Context 4x4 Time-Domain MIMO encoder with OFDM Scheme in WIMAX Context Mohamed.Messaoudi 1, Majdi.Benzarti 2, Salem.Hasnaoui 3 Al-Manar University, SYSCOM Laboratory / ENIT, Tunisia 1 messaoudi.jmohamed@gmail.com,

More information

Academic Course Description

Academic Course Description Academic Course Description SRM University Faculty of Engineering and Technology Department of Electronics and Communication Engineering CO2110 OFDM/OFDMA Communications Third Semester, 2016-17 (Odd semester)

More information

Design Of A Parallel Pipelined FFT Architecture With Reduced Number Of Delays

Design Of A Parallel Pipelined FFT Architecture With Reduced Number Of Delays Design Of A Parallel Pipelined FFT Architecture With Reduced Number Of Delays Kiranraj A. Tank Department of Electronics Y.C.C.E, Nagpur, Maharashtra, India Pradnya P. Zode Department of Electronics Y.C.C.E,

More information

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION Sinan Yalcin and Ilker Hamzaoglu Faculty of Engineering and Natural Sciences, Sabanci University, 34956, Tuzla,

More information

An area optimized FIR Digital filter using DA Algorithm based on FPGA

An area optimized FIR Digital filter using DA Algorithm based on FPGA An area optimized FIR Digital filter using DA Algorithm based on FPGA B.Chaitanya Student, M.Tech (VLSI DESIGN), Department of Electronics and communication/vlsi Vidya Jyothi Institute of Technology, JNTU

More information

PAPER A High-Speed Two-Parallel Radix-2 4 FFT/IFFT Processor for MB-OFDM UWB Systems

PAPER A High-Speed Two-Parallel Radix-2 4 FFT/IFFT Processor for MB-OFDM UWB Systems 1206 IEICE TRAS. FUDAMETALS, VOL.E91 A, O.4 APRIL 2008 PAPER A High-Speed Two-Parallel Radix-2 4 FFT/IFFT Processor for MB-OFDM UWB Systems Jeesung LEE, onmember and Hanho LEE a), Member SUMMARY This paper

More information

Academic Course Description. CO2110 OFDM/OFDMA COMMUNICATIONS Third Semester, (Odd semester)

Academic Course Description. CO2110 OFDM/OFDMA COMMUNICATIONS Third Semester, (Odd semester) Academic Course Description SRM University Faculty of Engineering and Technology Department of Electronics and Communication Engineering CO2110 OFDM/OFDMA COMMUNICATIONS Third Semester, 2014-15 (Odd semester)

More information

DESIGN OF LOW POWER / HIGH SPEED MULTIPLIER USING SPURIOUS POWER SUPPRESSION TECHNIQUE (SPST)

DESIGN OF LOW POWER / HIGH SPEED MULTIPLIER USING SPURIOUS POWER SUPPRESSION TECHNIQUE (SPST) Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 1, January 2014,

More information

Nutaq OFDM Reference

Nutaq OFDM Reference Nutaq OFDM Reference Design FPGA-based, SISO/MIMO OFDM PHY Transceiver PRODUCT SHEET QUEBEC I MONTREAL I NEW YORK I nutaq.com Nutaq OFDM Reference Design SISO/2x2 MIMO Implementation Simulation/Implementation

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information