A High-Speed Low-Complexity Modified Processor for High Rate WPAN Applications

Size: px
Start display at page:

Download "A High-Speed Low-Complexity Modified Processor for High Rate WPAN Applications"

Transcription

1 IEEE TRASACTIOS O VERY LARGE SCALE ITEGRATIO (VLSI) SYSTEMS, VOL. 21, O. 1, JAUARY [4] J. A. de Lima and C. Dualibe, A linearly tunable low-voltage CMOS transconductor with improved common-mode stability and its application to gm-c filters, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 48, no. 7, pp , Jul [5] U. Yodprasit and C. C. Enz, A 1.5-V 75-dB dynamic range third-order Gm/C filter integrated in a 0.18 m standard digital CMOS process, IEEE J. Solid-State Circuits, vol. 38, no. 7, pp , Jul [6] L. Acosta, M. Jiménez, R. G. Carvajal, A. J. López-Martín, and J. Ramírez-Angulo, Highly linear tunable CMOS Gm-C low-pass filter, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 56, no. 10, pp , Oct [7] A. Zeki, Low-voltage CMOS triode transconductor with wide-range and linear tunability, Electron. Lett., vol. 35, no. 20, pp , Sep [8] R. G. Carvajal, J. Ramírez-Angulo, A. J. López-Martín, A. Torralba, J. A. Galan, A. Carlosena, and F. M. Chavero, The flipped voltage follower: A useful cell for low-voltage low-power circuit design, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 52, no. 7, pp , Jul [9] Z. Y. Chang, D. Haspeslagh, and J. Verfaillie, A highly linear CMOS Gm-C bandpass filter with on-chip frequency tuning, IEEE J. Solid- State Circuits, vol. 32, no. 3, pp , Mar [10] J. Silva-Martínez, M. S. Steyaert, and. C. Sansen, A 10.7 MHz 68-dB SR CMOS continuous-time filter with on-chip automatic tuning, IEEE J. Solid-State Circuits, vol. 27, no. 12, pp , Dec A High-Speed Low-Complexity Modified Processor for High Rate PA Applications Taesang Cho and Hanho Lee FFT Abstract This paper presents a high-speed low-complexity modified radix point fast Fourier transform (FFT) processor using an eight data-path pipelined approach for high rate wireless personal area network applications. A novel modified radix 2 FFT algorithm that reduces the hardware complexity is proposed. This method can reduce the number of complex multiplications and the size of the twiddle factor memory. It also uses a complex constant multiplier instead of a complex Booth multiplier. The proposed FFT processor achieves a signal-to-quantization noise ratio of 35 db at 12 bit internal word length. The proposed processor has been designed and implemented using 90-nm CMOS technology with a supply voltage of 1.2 V. The results demonstrate that the total gate count of the proposed FFT processor is 290 K. Furthermore, the highest throughput rate is up to 2.5 GS/s at 310 MHz while requiring much less hardware complexity. Index Terms Fast Fourier transform (FFT), modified radix 2,orthogonal frequency-division multiplexing (OFDM), wireless personal area network (PA). I. ITRODUCTIO ith the ever increasing demand for multimedia applications using wireless transmissions over short distances, the millimeter wave (mmave) 60 GHz wireless personal area network (PA) has been intensively researched for many years. Currently, the IEEE Task Group ad (IEEE ad) is developing a standard for the Manuscript received July 18, 2011; revised ovember 11, 2011; accepted December 07, Date of publication February 03, 2012; date of current version December 19, This work was supported by Inha University. The authors are with the Department of Information and Communication Engineering, Inha University, Incheon , Korea ( hhlee@inha.ac.kr). Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /TVLSI mmave wireless local area network (LA) and PA systems. 1 High rate PA systems will be provided for various high speed multimedia applications such as home network systems and real time video streaming services in short range indoor environments. One key advantage of IEEE ad over the other standardization activities in the 60 GHz arena is that it builds on the existing strong market presence of i-fi in the 2.4/5 GHz bands. In the PHY layer design of high rate PAs, the orthogonal frequency division multiplexing (OFDM) modulation has been adopted, and the fast Fourier transform (FFT) processor is a key component. The FFT/IFFT processor has a high hardware complexity in the OFDM modulation of high rate PA systems. One OFDM symbol in the IEEE ad standards consists of a length of 512 subcarriers. Therefore, FFT processor conducts the FFT computation with 512-point arithmetic and should provide a high throughput rate of at least GS/s. In recent years, there has been some research in the design of multi-path pipelined FFT processors that provide a high throughput [1] [7]. Many FFT processor architectures are introduced in order to utilize the OFDM transmission, such as a single path delay commutator (SDC), multi-path delay commutator (MDC), single path delay feedback (SDF), and multi-path delay feedback (MDF). Among the various FFT architectures, the MDF architecture is frequently used as a solution to provide a throughput rate of more than 1 GS/s [3] [5]. However, for applications that provide a throughput rate of over 2 GS/s, the number of data-paths can be increased to 8 or 16, which increases the hardware cost. The area becomes even larger because the memory modules are duplicated for the 16 data path approach. In order to reduce the area and power consumption, several FFT algorithms and dynamic scaling schemes have been proposed [2] [6]. The radix of the algorithm greatly influences the architecture of the FFT processor and the complexity of the implementation. A small radix is desirable because it results in a simple butterfly. evertheless, a high radix reduces the number of twiddle factor multiplications. The radix r k algorithms simultaneously achieve a simple butterfly and a reduced number of twiddle factor multiplications [8]. The radix-2 algorithm is a well known simple algorithm for FFT processors, but it requires many complex multipliers. The radix-4 algorithm is primarily used for high data throughput FFT architectures, but requires a 4-point butterfly unit with high complexity. Recently, the radix FFT algorithm and architecture have been studied in order to reduce the number of complex multipliers [2], [4]. In this brief, a novel modified radix FFT algorithm and a 512-point FFT/IFFT processor architecture, which can provide a high throughput of 2.5 GS/s and SQR of 35 db for16-qam applications, are proposed. The key concepts for achieving a high data throughput, reduced hardware complexity and higher SQR performance are described. The organization of this brief is as follows. Section II describes the proposed modified radix02 5 FFT algorithm, and Section III describes the proposed 512-point radix02 5 FFT architecture. In Section IV, the implementation and comparison are presented. Finally, conclusions are provided in Section V. II. MODIFIED Radix FFT ALGORITHM A discrete Fourier transform (DFT) of length is defined as follows: 01 X(k) x(n) nk ; k 0; 1;...;0 1 (1) n0 1 [Online]. Available: /$ IEEE

2 188 IEEE TRASACTIOS O VERY LARGE SCALE ITEGRATIO (VLSI) SYSTEMS, VOL. 21, O. 1, JAUARY 2013 where is the twiddle factor and denotes the th primitive root of unity, with its exponent evaluated modulo. k is the frequency index and n is the time index [2]. The radix 0 2 k algorithm has the same butterfly structure regardless of the k value. However, the twiddle factor multiplication structure is varied with a factor k. The 512-point FFT computation with radix02 k algorithm consists of nine arithmetic stages. The radix 0 2 k algorithm is formulated using k-dimensional linear index mapping. The radix algorithm can be expressed as various formulas using a common factor algorithm. The radix algorithm is given as follows. Applying a 6-D linear index map n 1 ;n 2 ;n 3 ;n 4 ;n 5 0; 1 n 2 n1 + 4 n2 + 8 n n n5 +n6 n 6 0; 111; k hk 1 +2k 2 +4k 3 +8k 4 +16k 5 +32k 6i k 1 ;k 2 ;k 3 ;k 4 ;k 5 0; 1 k 6 0; 111; 0 1: (2) 32 The radix algorithm is reformulated into two decomposing methods (Method 1 and Method 2), which are called the modified radix algorithm. The common factor algorithm takes the form of X(k 1 +2k 2+4k 3 +8k 4+16k 5+32k 6) n 0 n 0 n 0 n 0 n 0 n 0 2 x 2 n n n n n 5 +n 6 nk 01 n 0 J (n 6 ;k 1 ;k 2 ;k 3 ;k 4 ;k 5 ) n (k +2k +4k +8k +16k ) 2 n k : (3) The method 1 of the modified radix algorithm is expressed as follows: ( n + n + n + n + n +n )(k +2k +4k +8k +16k +32k ) Stage 1TF Stage 2TF n (k +2k ) 8 Stage 1BU Stage 2BU Stage 3TF Stage 4TF (2n +n )(k +2k +4k ) 3 Stage 3BU Stage 5BU Stage 5TF Stage 4BU n (k +2k +4k +8k +16k ) n k : (4) The signal flow graph for the Method 1 of the 64-point modified radix algorithm is shown in Fig. 1(a). The common factor algorithm using other factoring method takes the form of X(k 1+2k 2 +4k 3+8k 4 +16k 5+32k 6) n 0 n 0 n 0 n 0 n 0 n 0 Fig. 1. Signal flow graph of (a) Method 1, (b) Method 2 for the 64 point modified radix 2 algorithm. 2x 2 n n n n n 5 +n 6 nk 01 n 0 K (n 6 ;k 1 ;k 2 ;k 3 ;k 4 ;k 5 ) n k n k : (5) The Method 2 of the modified radix algorithm is expressed as follows: ( n + n + n + n + n +n )(k +2k +4k +8k +16k +32k )

3 IEEE TRASACTIOS O VERY LARGE SCALE ITEGRATIO (VLSI) SYSTEMS, VOL. 21, O. 1, JAUARY TABLE I SEQUECE OF THE 512-POIT FFT TIDDLE FACTOR COMPUTATIO FOR RADIX-2 FFT ALGORITHMS TABLE II HARDARE COMPLEXITY COMPARISO OF THE EIGHT PARALLEL DATA-PATH 512-POIT MDF FFT PROCESSORS Stage 1TF Stage 2TF (2n +n )(k +2k ) 16 Stage 1BU Stage 3TF Stage 2BU Stage 4TF (16n +n )(k +2k +4k +8k ) Stage 3BU Stage 5TF Stage 4BU Stage 5BU n k 2 n k : (6) Fig. 1(b) shows a signal flow graph for the Method 2 of the 64-point modified radix algorithm. Each method has butterfly computation and twiddle factor multiplication at each stage. Equations (4) and (6) show the butterfly stages and twiddle factor multiplications of each stage. The twiddle factors n 32 and n 16 in (4) and (6) have complex numbers. If each radix decomposing methods (Method 1 and Method 2) are used independently for the 512-point FFT computation, the number of twiddle factor multiplications tends to increase. However, the number of twiddle factor multiplications can be reduced by combining the Methods 1 and 2 of the modified radix algorithm, which is called mixed method. Table I shows the sequence of the 512-point FFT twiddle factor computation at each stage for the several radix02 k FFT algorithms. Generally, programmable complex multiplier is used for complex multiplications; however, if the twiddle factor has a small number of coefficients, then the complex constant multiplier can be used for the twiddle factor multiplications. The complex multiplication of the twiddle factors, n 32, n 16, and n 8, can be implemented in the canonic signed digit (CSD) constant multiplier, which contains the fewest number of non-zero digits [10]. Hence, the area and power consumption of the complex multipliers can be reduced. The radix algorithm has similar complex multiplication pattern repeatedly every five stages. A 512-point FFT computation using radix algorithm consists of nine stages. As shown in Table I, the stage 2 in Method 2 has twiddle factor 16, which has higher hardware complexity compared to 8 in Method 1. Stages 7 and 8 in Method 1 has twiddle factors 8 and 32, which require higher hardware complexity compared to 16 in Method 2. Thus, the first five stages and the other three stages use Method 1 and Method 2, respectively to reduce the hardware complexity of complex multipliers, as shown in Table I. Table II shows a comparison of the hardware complexity for several eight parallel data-path 512-point radix 0 2 k MDF FFT architectures. Compared with the conventional architectures, the proposed architecture requires only a half of the number of complex Booth multipliers. In addition, the twiddle factor LUT requires a much smaller size compared to the other radix 0 2 k algorithms. To compare the hardware complexity, the complex multipliers were synthesized and then the area CR denotes the complex registers in the feedback path. CA denotes the complex adders. CBM denotes the complex Booth multiplier. CCM denotes the complex constant multiplier. A denotes the normalized area. of each multiplier was normalized. If it is assumed that the area of the complex Booth multiplier is 1, then the normalized area of the complex constant multipliers for the twiddle factor multiplications of 8, 16, and 32 is 0.12, 0.28, and 0.46, respectively. The results show that the proposed FFT processor using the mixed method of the modified radix algorithm has the lowest total normalized area of complex multiplier and smallest LUT size of twiddle factor. Thus, it has best area efficiency as compared to the other FFT processors. III. PROPOSED ARCHITECTURE In this brief, an eight parallel data-path 512-point modified radix FFT processor is proposed, as shown in Fig. 2. There are two modules based on the modified radix02 5 algorithm that reduce the number of twiddle factor multiplications. The first module, which consists of five processing elements (PEs), is realized using Method 1 of the modified radix algorithm, and the second module is realized using Method 2. The proposed architecture consists of butterfly units, complex Booth multipliers, complex constant multipliers, first-in first-out (FIFO), and a control unit. A. Butterfly Units The butterfly units shown in Fig. 3 perform complex additions and subtractions of two input data: x[n] and x[n + 2]. The behavior of the butterfly units is as follows. All input values are saved into the FIFO until the 2 th input is entered. Then, the butterfly units conduct calculations between the input values and FIFO outputs, after entering the (2) + 1 st input. During the last 2 clock cycles, all butterfly calculations are performed at each stage. Among the butterfly outputs, the complex addition outputs are fed to the next stage. And, the complex subtraction outputs are saved in the FIFO, and then during the next 2 clock cycles, the FIFO outputs are fed to the next stage. Butterfly

4 190 IEEE TRASACTIOS O VERY LARGE SCALE ITEGRATIO (VLSI) SYSTEMS, VOL. 21, O. 1, JAUARY 2013 Fig. 2. Block diagram of the proposed eight parallel data-path 512-point modified radix 2 FFT/IFFT processor. Fig. 3. Block diagram of (a) butterfly unit 1 (BU1), (b) butterfly unit 2 (BU2). unit 1 (BU1) conducts complex additions and subtractions only. However, butterfly unit 2 (BU2) includes twiddle factor 4 multiplication utilizing the multiplexers and control signals. B. Complex Booth Multiplier ith Error Compensation The twiddle factor multiplication is conducted using fixed width complex multipliers. The twiddle factor values stored in the read-only memory (ROM) are used as the multiplicand in the complex Booth multiplier. The modified Booth algorithm is used widely for high speed multiplications. Since the maximum clock rate of the FFT processor depends on the critical path of the complex Booth multiplier, three-level pipelined complex Booth multiplier is used for high-speed operation. Because quantization errors affect the signal-to-noise ratio (SR) performance of the system, an error compensation method [9] is used to reduce the quantization error. C. Complex Constant Multiplier The proposed FFT processor uses constant multipliers based on the canonical signed digit (CSD) representation for the complex multiplication arithmetic in stages 2, 3, and 7. The twiddle factor 8 has only one coefficient, but twiddle factors 16 and 32 have three and seven coefficients, respectively. Mostly the existing research is using complex Booth multipliers for the twiddle factor 32 multiplication. However, in our design, the complex CSD constant multiplier has been used for the twiddle factor 32 multiplication. Also, the common sub-expressions sharing (CSS) technique reduces the hardware complexity Fig. 4. Constant multiplier for twiddle factor multiplications (,, and ). of the complex CSD constant multipliers [10], as shown in Fig. 4. The constant multiplier using the CSS technique is implemented using the common calculation patterns X1, X2, and X3. The proposed FFT processor applied CSD constant multiplier instead of complex Booth multiplier at several stages. Thus, the hardware complexity of complex multiplier is decreased by at least 54% in comparison with using complex Booth multiplier. In addition, the twiddle factor LUT size is reduced to 50% compared to the designs using the complex Booth multipliers. IV. RESULTS AD COMPARISO The appropriate word length and quantization error performance evaluation of the proposed FFT processor is determined using a fixed-point simulation prior to the hardware implementation. In the fixed-point simulation result, the output SR was saturated at a 12-bit word length; thus, the 12-bit word length was determined in both real and imaginary parts. The SQR can reach 35 db for 16-QAM

5 IEEE TRASACTIOS O VERY LARGE SCALE ITEGRATIO (VLSI) SYSTEMS, VOL. 21, O. 1, JAUARY modulation with a 12-bit word length without using a data scaling approach. Although the data scaling approach provides a higher SQR performance with a lower internal word length, it requires a data scaling block in each stage which involves higher hardware complexity. The architecture of the proposed FFT/IFFT processor was designed in Verilog HDL and simulated to verify its functionality. Both the simulation and synthesis steps were performed using the SYOPSYS design tool and 90 nm CMOS technology optimized for a 1.2 V supply voltage. Table III shows the performance comparisons between the proposed FFT/IFFT processor and the existing FFT/IFFT processors for PA applications [3], [5], [6]. The results show that the proposed FFT processor obtains much better SQR performances compared with those of previous FFT processors. The proposed processor has 290 K gate count and the operating clock frequency is 310 MHz. The core area of the proposed architecture is 0.78 mm 2 after layout. The throughput rate of the proposed architecture is up to 2.5 GS/s at 310 MHz, which is enough to meet the specification of IEEE ad standard. Both designs presented in [3] and [5] are 2048-point FFT processors for OFDM-based PA applications, which do not target the existing specific standards. Although the number of parallel data-paths in the proposed design is double than that of the four parallel 2048-point FFT processor presented in [3], the proposed architecture requires only 80% core area, which indicates a more competitive and lower hardware complexity as compared to the conventional architectures. Also, considering the proposed architecture obtains a much better SQR performance for the supporting 16-QAM modulation, the core area of the proposed architecture requires only 67% of the size of the existing eight parallel data-path 2048-point FFT processor [5]. V. COCLUSIO In this paper, the modified radix algorithm and the eight parallel data-path 512-point modified radix FFT processor have been proposed with 2.5 GS/s for OFDM-based PA applications. The number of complex Booth multipliers and twiddle factor LUTs are reduced using the modified radix algorithm. The proposed modified radix FFT processor is the most area-efficient architecture for the eight parallel 512-point MDF FFT processors. The highest throughput rate is up to 2.5 GS/s at the clock frequency of 310 MHz. In addition, SQR can reach 35 db for 16-QAM modulations with a 12 bit word length. The proposed architecture has potential applications in high-rate OFDM-based PA systems. REFERECES [1] Y. Lin, H. Liu, and C. Lee, A 1-GS/s FFT/IFFT processor for UB applications, IEEE J. Solid-State Circuits, vol. 40, no. 8, pp , Aug TABLE III PERFORMACE OF THE PROPOSED FFT PROCESSOR COMPARED ITH PREVIOUS IMPLEMETATIOS [2] J. Lee and H. Lee, A high-speed two-parallel radix 2 FFT/IFFT processor for MB-OFDM UB systems, IEICE Trans. Fundam., vol. E91-A, no. 4, pp , Apr [3] Y. Chen, Y. Tsao, Y. ei, C. Lin, and C. Lee, An indexed-scaling pipelined FFT processor for OFDM-based PA applications, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 2, pp , Feb [4] M. Shin and H. Lee, A high-speed four-parallel radix 2 FFT processor for UB applications, in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), 2008, pp [5] S. Tang, J. Tsai, and T. Chang, A 2.4-GS/s FFT processor for OFDMbased PA applications, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, no. 6, pp , Jun [6] S. Huang and S. Chen, A green FFT processor with 2.5-GS/s for IEEE c (PAs), in Proc. Int. Conf. Green Circuits Syst. (ICGCS), 2010, pp [7] T. Cho, H. Lee, J. Park, and C. Park, A high-speed low-complexity modified radix 2 FFT processor for gigabit PA applications, in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), 2011, pp [8] A. Cortes, I. Velez, and J. F. Sevillano, Radix FFTs: Matrical representation and SDC/SDF pipeline implementation, IEEE Trans. Signal Process., vol. 57, no. 7, pp , Jul [9] K. Cho, K. Lee, J. Chung, and K. Parhi, Design of low-error fixedwidth modified booth multiplier, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 5, pp , May [10] R. I. Hartley, Subexpression sharing in filters using canonic signed digit multipliers, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 43, no. 10, pp , Oct

PAPER A High-Speed Two-Parallel Radix-2 4 FFT/IFFT Processor for MB-OFDM UWB Systems

PAPER A High-Speed Two-Parallel Radix-2 4 FFT/IFFT Processor for MB-OFDM UWB Systems 1206 IEICE TRAS. FUDAMETALS, VOL.E91 A, O.4 APRIL 2008 PAPER A High-Speed Two-Parallel Radix-2 4 FFT/IFFT Processor for MB-OFDM UWB Systems Jeesung LEE, onmember and Hanho LEE a), Member SUMMARY This paper

More information

Combination of SDC-SDF Architecture for I/O Pipelined Radix-2 FFT

Combination of SDC-SDF Architecture for I/O Pipelined Radix-2 FFT Combination of SDC-SDF Architecture for I/O Pipelined Radix-2 FFT G.Chandrabrahmini M.Tech Student, Stanley Stephen College of Engineering & Technology, Panchalingala, Kurnool - 518004. A.P. N.Praveen

More information

A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS

A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS Ms. P. P. Neethu Raj PG Scholar, Electronics and Communication Engineering, Vivekanadha College of Engineering for Women, Tiruchengode, Tamilnadu,

More information

A Combined SDC-SDF Architecture for Normal I/O Pipelined Radix-2 FFT

A Combined SDC-SDF Architecture for Normal I/O Pipelined Radix-2 FFT IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 A Combined SDC-SDF Architecture for Normal I/O Pipelined Radix-2 FFT Zeke Wang, Xue Liu, Bingsheng He, and Feng Yu Abstract We present

More information

Area Efficient Fft/Ifft Processor for Wireless Communication

Area Efficient Fft/Ifft Processor for Wireless Communication IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 3, Ver. III (May-Jun. 2014), PP 17-21 e-issn: 2319 4200, p-issn No. : 2319 4197 Area Efficient Fft/Ifft Processor for Wireless Communication

More information

VLSI Implementation of Area-Efficient and Low Power OFDM Transmitter and Receiver

VLSI Implementation of Area-Efficient and Low Power OFDM Transmitter and Receiver Indian Journal of Science and Technology, Vol 8(18), DOI: 10.17485/ijst/2015/v8i18/63062, August 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 VLSI Implementation of Area-Efficient and Low Power

More information

An Area Efficient FFT Implementation for OFDM

An Area Efficient FFT Implementation for OFDM Vol. 2, Special Issue 1, May 20 An Area Efficient FFT Implementation for OFDM R.KALAIVANI#1, Dr. DEEPA JOSE#1, Dr. P. NIRMAL KUMAR# # Department of Electronics and Communication Engineering, Anna University

More information

A SURVEY ON FFT/IFFT PROCESSOR FOR HIGH SPEED WIRELESS COMMUNICATION SYSTEM

A SURVEY ON FFT/IFFT PROCESSOR FOR HIGH SPEED WIRELESS COMMUNICATION SYSTEM A SURVEY ON FFT/IFFT PROCESSOR FOR HIGH SPEED WIRELESS COMMUNICATION SYSTEM K. Vijayakanthan and M. Anand Dr. M. G. R Educational and Research Institute University, Chennai, India E-Mail: vijayakanthank@gmail.com

More information

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique TALLURI ANUSHA *1, and D.DAYAKAR RAO #2 * Student (Dept of ECE-VLSI), Sree Vahini Institute of Science and Technology,

More information

Design of Reconfigurable FFT Processor With Reduced Area And Power

Design of Reconfigurable FFT Processor With Reduced Area And Power Design of Reconfigurable FFT Processor With Reduced Area And Power 1 Sharon Thomas & 2 V Sarada 1 Dept. of VLSI Design, 2 Department of ECE, 1&2 SRM University E-mail : Sharonthomas05@gmail.com Abstract

More information

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier Gowridevi.B 1, Swamynathan.S.M 2, Gangadevi.B 3 1,2 Department of ECE, Kathir College of Engineering 3 Department of ECE,

More information

ULTRAWIDEBAND (UWB) communication systems,

ULTRAWIDEBAND (UWB) communication systems, 1726 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 8, AUGUST 2005 A 1-GS/s FFT/IFFT Processor for UWB Applications Yu-Wei Lin, Hsuan-Yu Liu, and Chen-Yi Lee, Member, IEEE Abstract In this paper, we

More information

A FFT/IFFT Soft IP Generator for OFDM Communication System

A FFT/IFFT Soft IP Generator for OFDM Communication System A FFT/IFFT Soft IP Generator for OFDM Communication System Tsung-Han Tsai, Chen-Chi Peng and Tung-Mao Chen Department of Electrical Engineering, National Central University Chung-Li, Taiwan Abstract: -

More information

VLSI Implementation of Pipelined Fast Fourier Transform

VLSI Implementation of Pipelined Fast Fourier Transform ISSN: 2278 323 Volume, Issue 4, June 22 VLSI Implementation of Pipelined Fast Fourier Transform K. Indirapriyadarsini, S.Kamalakumari 2, G. Prasannakumar 3 Swarnandhra Engineering College &2, Vishnu Institute

More information

Low power and Area Efficient MDC based FFT for Twin Data Streams

Low power and Area Efficient MDC based FFT for Twin Data Streams RESEARCH ARTICLE OPEN ACCESS Low power and Area Efficient MDC based FFT for Twin Data Streams M. Hemalatha 1, R. Ashok Chaitanya Varma 2 1 ( M.Tech -VLSID Student, Department of Electronics and Communications

More information

Pre-Encoded Multipliers Based on Non-Redundant Radix-4 Signed-Digit Encoding

Pre-Encoded Multipliers Based on Non-Redundant Radix-4 Signed-Digit Encoding 670 IEEE TRANSACTIONS ON COMPUTERS, VOL. 65, NO. 2, FEBRUARY 2016 Pre-Encoded Multipliers Based on Non-Redundant Radix-4 Signed-Digit Encoding K. Tsoumanis, N. Axelos, N. Moschopoulos, G. Zervakis, and

More information

EFFICIENT DESIGN OF FFT/IFFT PROCESSOR USING VERILOG HDL

EFFICIENT DESIGN OF FFT/IFFT PROCESSOR USING VERILOG HDL EFFICIENT DESIGN OF FFT/IFFT PROCESSOR USING VERILOG HDL M. SRIDHANYA (1), MRS. G. ANNAPURNA (2) M.TECH, VLSI SYSTEM DESIGN, VIDYA JYOTHI INSTITUTE OF TECHNOLOGY (1) M.TECH, ASSISTANT PROFESSOR, VIDYA

More information

A Low Power Pipelined FFT/IFFT Processor for OFDM Applications

A Low Power Pipelined FFT/IFFT Processor for OFDM Applications A Low Power Pipelined FFT/IFFT Processor for OFDM Applications M. Jasmin 1 Asst. Professor, Bharath University, Chennai, India 1 ABSTRACT: To produce multiple subcarriers orthogonal frequency division

More information

IMPLEMENTATION OF 64-POINT FFT/IFFT BY USING RADIX-8 ALGORITHM

IMPLEMENTATION OF 64-POINT FFT/IFFT BY USING RADIX-8 ALGORITHM Int. J. Elec&Electr.Eng&Telecoms. 2013 K Venkata Subba Reddy and K Bala, 2013 Research Paper ISSN 2319 2518 www.ijeetc.com Vol. 2, No. 4, October 2013 2013 IJEETC. All Rights Reserved IMPLEMENTATION OF

More information

A Novel Low Power Approach for Radix-4 commutator FFT Based on CSD Algorithm

A Novel Low Power Approach for Radix-4 commutator FFT Based on CSD Algorithm A Novel Low Power Approach for Radix-4 commutator FFT Based on CSD Algorithm 1 BANOTHU DHARMA, 2 O.RAVINDER, 3 B.HANMANTHU 1,2 Dept. of ECE, Sree Chaitanya College of Engineering, Karimnagar, T.S. India

More information

Area Efficient NR4SD Encoding for Pre-Encoded Multipliers

Area Efficient NR4SD Encoding for Pre-Encoded Multipliers Area Efficient NR4SD Encoding for Pre-Encoded Multipliers B. Gowtam Kumar Department of Electronics & Communication Engineering, BVC College of Engineering, Palacharla, Rajanagaram, A.P - 533294, India.

More information

Area and Power Efficient Booth s Multipliers Based on Non Redundant Radix-4 Signed- Digit Encoding

Area and Power Efficient Booth s Multipliers Based on Non Redundant Radix-4 Signed- Digit Encoding Area and Power Efficient Booth s Multipliers Based on Non Redundant Radix-4 Signed- Digit Encoding S.Reshma 1, K.Rjendra Prasad 2 P.G Student, Department of Electronics and Communication Engineering, Mallareddy

More information

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog K.Durgarao, B.suresh, G.Sivakumar, M.Divaya manasa Abstract Digital technology has advanced such that there is an increased need for power efficient

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

A High Performance Split-Radix FFT with Constant Geometry Architecture

A High Performance Split-Radix FFT with Constant Geometry Architecture A High Performance Split-Radix FFT with Constant Geometry Architecture Joyce Kwong, Manish Goel Systems and Applications R&D Center 25 TI Blvd Dallas TX, USA Email: {kwong, goel}@ti.com Abstract High performance

More information

ISSN Vol.07,Issue.01, January-2015, Pages:

ISSN Vol.07,Issue.01, January-2015, Pages: ISSN 2348 2370 Vol.07,Issue.01, January-2015, Pages:0073-0081 www.ijatir.org MDC FFT/IFFT Processor with Variable Length for MIMO-OFDM Systems VEMU SHIRDI SAIPRABHU 1, P.GOPALA REDDY 2 1 PG Scholar, Sri

More information

DESIGN AND IMPLEMENTATION OF MOBILE WiMAX (IEEE e) PHYSICAL LAYERUSING FPGA

DESIGN AND IMPLEMENTATION OF MOBILE WiMAX (IEEE e) PHYSICAL LAYERUSING FPGA DESIGN AND IMPLEMENTATION OF MOBILE WiMAX (IEEE 802.16e) PHYSICAL LAYERUSING FPGA 1 Shailaja S, 2 DeepaM 1 M.E VLSI DESIGN, 2 Assistant Professor, Kings college of Engineering,Thanjavur, Tamilnadu, India.

More information

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier M.Shiva Krushna M.Tech, VLSI Design, Holy Mary Institute of Technology And Science, Hyderabad, T.S,

More information

A Novel Approach in Pipeline Architecture for 64-Point FFT Processor without ROM

A Novel Approach in Pipeline Architecture for 64-Point FFT Processor without ROM A Novel Approach in Pipeline Architecture for 64-Point FFT Processor without ROM A.Manimaran, Dr.S.K.Sudheer, Manu.K.Harshan Associate Professor, Department of ECE, Karpaga Vinayaga College of Engineering

More information

720 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 4, APRIL 2013

720 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 4, APRIL 2013 72 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 2, NO. 4, APRIL 23 MDC FFT/IFFT Processor With Variable Length for MIMO-OFDM Systems Kai-Jiun Yang, Shang-Ho Tsai, Senior Member,

More information

IN SEVERAL wireless hand-held systems, the finite-impulse

IN SEVERAL wireless hand-held systems, the finite-impulse IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 51, NO. 1, JANUARY 2004 21 Power-Efficient FIR Filter Architecture Design for Wireless Embedded System Shyh-Feng Lin, Student Member,

More information

An Efficient Design of Parallel Pipelined FFT Architecture

An Efficient Design of Parallel Pipelined FFT Architecture www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 3, Issue 10 October, 2014 Page No. 8926-8931 An Efficient Design of Parallel Pipelined FFT Architecture Serin

More information

Fast Fourier Transform: VLSI Architectures

Fast Fourier Transform: VLSI Architectures Fast Fourier Transform: VLSI Architectures Lecture Vladimir Stojanović 6.97 Communication System Design Spring 6 Massachusetts Institute of Technology Cite as: Vladimir Stojanovic, course materials for

More information

Architecture for Canonic RFFT based on Canonic Sign Digit Multiplier and Carry Select Adder

Architecture for Canonic RFFT based on Canonic Sign Digit Multiplier and Carry Select Adder Architecture for Canonic based on Canonic Sign Digit Multiplier and Carry Select Adder Pradnya Zode Research Scholar, Department of Electronics Engineering. G.H. Raisoni College of engineering, Nagpur,

More information

A Partially Operated FFT/IFFT Processor for Low Complexity OFDM Modulation and Demodulation of WiBro In-car Entertainment System

A Partially Operated FFT/IFFT Processor for Low Complexity OFDM Modulation and Demodulation of WiBro In-car Entertainment System D.-S. Kim et al.: A Partially Operated FFT/IFFT Processor for Low Complexity OFDM Modulation and Demodulation of WiBro In-car Entertainment System A Partially Operated FFT/IFFT Processor for Low Complexity

More information

A Hardware Efficient FIR Filter for Wireless Sensor Networks

A Hardware Efficient FIR Filter for Wireless Sensor Networks International Journal of Innovative Research in Computer Science & Technology (IJIRCST) ISSN: 2347-5552, Volume-2, Issue-3, May 204 A Hardware Efficient FIR Filter for Wireless Sensor Networks Ch. A. Swamy,

More information

High-performance Parallel Concatenated Polar-CRC Decoder Architecture

High-performance Parallel Concatenated Polar-CRC Decoder Architecture JOURAL OF SEMICODUCTOR TECHOLOGY AD SCIECE, VOL.8, O.5, OCTOBER, 208 ISS(Print) 598-657 https://doi.org/0.5573/jsts.208.8.5.560 ISS(Online) 2233-4866 High-performance Parallel Concatenated Polar-CRC Decoder

More information

S.Nagaraj 1, R.Mallikarjuna Reddy 2

S.Nagaraj 1, R.Mallikarjuna Reddy 2 FPGA Implementation of Modified Booth Multiplier S.Nagaraj, R.Mallikarjuna Reddy 2 Associate professor, Department of ECE, SVCET, Chittoor, nagarajsubramanyam@gmail.com 2 Associate professor, Department

More information

DESIGN & IMPLEMENTATION OF FIXED WIDTH MODIFIED BOOTH MULTIPLIER

DESIGN & IMPLEMENTATION OF FIXED WIDTH MODIFIED BOOTH MULTIPLIER DESIGN & IMPLEMENTATION OF FIXED WIDTH MODIFIED BOOTH MULTIPLIER 1 SAROJ P. SAHU, 2 RASHMI KEOTE 1 M.tech IVth Sem( Electronics Engg.), 2 Assistant Professor,Yeshwantrao Chavan College of Engineering,

More information

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER International Journal of Advancements in Research & Technology, Volume 4, Issue 6, June -2015 31 A SPST BASED 16x16 MULTIPLIER FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

More information

DESIGN OF MULTIPLE CONSTANT MULTIPLICATION ALGORITHM FOR FIR FILTER

DESIGN OF MULTIPLE CONSTANT MULTIPLICATION ALGORITHM FOR FIR FILTER Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 3, March 2014,

More information

Design and Performance Analysis of a Reconfigurable Fir Filter

Design and Performance Analysis of a Reconfigurable Fir Filter Design and Performance Analysis of a Reconfigurable Fir Filter S.karthick Department of ECE Bannari Amman Institute of Technology Sathyamangalam INDIA Dr.s.valarmathy Department of ECE Bannari Amman Institute

More information

2 Assistant Professor, Dept of ECE, Universal College of Engineering & Technology, AP, India,

2 Assistant Professor, Dept of ECE, Universal College of Engineering & Technology, AP, India, ISSN 2319-8885 Vol.03,Issue.41 November-2014, Pages:8270-8274 www.ijsetr.com E. HEMA DURGA 1, K. BABU RAO 2 1 PG Scholar, Dept of ECE, Universal College of Engineering & Technology, AP, India, E-mail:

More information

VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems

VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.3, SEPTEMBER, 2010 185 VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems Jongmin Cho*, Jinsang

More information

Design Of A Parallel Pipelined FFT Architecture With Reduced Number Of Delays

Design Of A Parallel Pipelined FFT Architecture With Reduced Number Of Delays Design Of A Parallel Pipelined FFT Architecture With Reduced Number Of Delays Kiranraj A. Tank Department of Electronics Y.C.C.E, Nagpur, Maharashtra, India Pradnya P. Zode Department of Electronics Y.C.C.E,

More information

LOW POWER FEED FORWARD FFT ARCHITECTURES USING SWITCH LOGIC

LOW POWER FEED FORWARD FFT ARCHITECTURES USING SWITCH LOGIC LOW POWER FEED FORWARD FFT ARCHITECTURES USING SWITCH LOGIC 1 DHANABAL R, 2 BHARATHI V, 3 SUJANA D.V., 4 SHRUTHI UDAYKUMAR, 5 JOHNY S RAJ, 6 ARAVIND KUMAR V.N #1 Assistant Professor (Senior Grade),VLSI

More information

Data Word Length Reduction for Low-Power DSP Software

Data Word Length Reduction for Low-Power DSP Software EE382C: LITERATURE SURVEY, APRIL 2, 2004 1 Data Word Length Reduction for Low-Power DSP Software Kyungtae Han Abstract The increasing demand for portable computing accelerates the study of minimizing power

More information

Multiple Constant Multiplication for Digit-Serial Implementation of Low Power FIR Filters

Multiple Constant Multiplication for Digit-Serial Implementation of Low Power FIR Filters Multiple Constant Multiplication for igit-serial Implementation of Low Power FIR Filters KENNY JOHANSSON, OSCAR GUSTAFSSON, and LARS WANHAMMAR epartment of Electrical Engineering Linköping University SE-8

More information

IN RECENT years, low-dropout linear regulators (LDOs) are

IN RECENT years, low-dropout linear regulators (LDOs) are IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 9, SEPTEMBER 2005 563 Design of Low-Power Analog Drivers Based on Slew-Rate Enhancement Circuits for CMOS Low-Dropout Regulators

More information

M.Tech Student, Asst Professor Department Of Eelectronics and Communications, SRKR Engineering College, Andhra Pradesh, India

M.Tech Student, Asst Professor Department Of Eelectronics and Communications, SRKR Engineering College, Andhra Pradesh, India Computational Performances of OFDM using Different Pruned FFT Algorithms Alekhya Chundru 1, P.Krishna Kanth Varma 2 M.Tech Student, Asst Professor Department Of Eelectronics and Communications, SRKR Engineering

More information

DESIGN AND IMPLEMENTATION OF FFT ARCHITECTURE FOR REAL-VALUED SIGNALS BASED ON RADIX-2 3 ALGORITHM

DESIGN AND IMPLEMENTATION OF FFT ARCHITECTURE FOR REAL-VALUED SIGNALS BASED ON RADIX-2 3 ALGORITHM DESIGN AND IMPLEMENTATION OF FFT ARCHITECTURE FOR REAL-VALUED SIGNALS BASED ON RADIX-2 3 ALGORITHM 1 Pradnya Zode, 2 A.Y. Deshmukh and 3 Abhilesh S. Thor 1,3 Assistnant Professor, Yeshwantrao Chavan College

More information

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER American Journal of Applied Sciences 11 (2): 180-188, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.180.188 Published Online 11 (2) 2014 (http://www.thescipub.com/ajas.toc) AREA

More information

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers Dharmapuri Ranga Rajini 1 M.Ramana Reddy 2 rangarajini.d@gmail.com 1 ramanareddy055@gmail.com 2 1 PG Scholar, Dept

More information

Low Power R4SDC Pipelined FFT Processor Architecture

Low Power R4SDC Pipelined FFT Processor Architecture IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) e-issn: 2319 4200, p-issn No. : 2319 4197 Volume 1, Issue 6 (Mar. Apr. 2013), PP 68-75 Low Power R4SDC Pipelined FFT Processor Architecture Anjana

More information

Implementation of a FFT using High Speed and Power Efficient Multiplier

Implementation of a FFT using High Speed and Power Efficient Multiplier Implementation of a FFT using High Speed and Power Efficient 1 Padala.Abhishek.T.S, 2 Dr. Shaik.Mastan Vali 1,2 Dept. of ECE, MVGR College of Engineering, Vizianagaram, Andhra Pradesh, India Abstract Fast

More information

Implementation of Parallel MAC Unit in 8*8 Pre- Encoded NR4SD Multipliers

Implementation of Parallel MAC Unit in 8*8 Pre- Encoded NR4SD Multipliers Implementation of Parallel MAC Unit in 8*8 Pre- Encoded NR4SD Multipliers Justin K Joy 1, Deepa N R 2, Nimmy M Philip 3 1 PG Scholar, Department of ECE, FISAT, MG University, Angamaly, Kerala, justinkjoy333@gmail.com

More information

Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters

Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters Proceedings of the th WSEAS International Conference on CIRCUITS, Vouliagmeni, Athens, Greece, July -, (pp3-39) Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters KENNY JOHANSSON,

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

Optimized FIR filter design using Truncated Multiplier Technique

Optimized FIR filter design using Truncated Multiplier Technique International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Optimized FIR filter design using Truncated Multiplier Technique V. Bindhya 1, R. Guru Deepthi 2, S. Tamilselvi 3, Dr. C. N. Marimuthu

More information

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA.

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA. Volume 4, Issue 11, November 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Future to

More information

Reconfigurable High Performance Baugh-Wooley Multiplier for DSP Applications

Reconfigurable High Performance Baugh-Wooley Multiplier for DSP Applications Reconfigurable High Performance Baugh-Wooley Multiplier for DSP Applications Joshin Mathews Joseph & V.Sarada Department of Electronics and Communication Engineering, SRM University, Kattankulathur, Chennai,

More information

THE serial advanced technology attachment (SATA) is becoming

THE serial advanced technology attachment (SATA) is becoming IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 11, NOVEMBER 2007 979 A Low-Jitter Spread Spectrum Clock Generator Using FDMP Ding-Shiuan Shen and Shen-Iuan Liu, Senior Member,

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm V.Sandeep Kumar Assistant Professor, Indur Institute Of Engineering & Technology,Siddipet

More information

An FPGA Based Low Power Multiplier for FFT in OFDM Systems Using Precomputations

An FPGA Based Low Power Multiplier for FFT in OFDM Systems Using Precomputations An FPGA Based Low Power Multiplier for FFT in OFDM Systems Using Precomputations Mokhtar Aboelaze Dept of Electrical Engineering and Computer Science Lassonde School of Engineering York University Toronto

More information

A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications

A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications Duo Sheng, Ching-Che Chung, and Chen-Yi Lee Abstract In

More information

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS ( 1 Dr.V.Malleswara rao, 2 K.V.Ganesh, 3 P.Pavan Kumar) 1 Professor &HOD of ECE,GITAM University,Visakhapatnam. 2 Ph.D

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November-2014 1470 Design and implementation of an efficient OFDM communication using fused floating point FFT Pamidi Lakshmi

More information

Simulation of Parallel Pipeline Radix 2^2 Architecture

Simulation of Parallel Pipeline Radix 2^2 Architecture Simulation of Parallel Pipeline Radix 2^2 Architecture Ankita. S. Dubey Student MTech Electronics Engineering(Communication) Vidharbh Institute of Technology agpur,india. Prof. ilesh P. Bodne Assistant

More information

DESIGN OF PROCESSING ELEMENT (PE3) FOR IMPLEMENTING PIPELINE FFT PROCESSOR

DESIGN OF PROCESSING ELEMENT (PE3) FOR IMPLEMENTING PIPELINE FFT PROCESSOR International Journal on Cybernetics & Informatics (IJCI) Vol. 5, o. 4, August 2016 DESIG OF PROCESSIG ELEMET (PE3) FOR IMPLEMETIG PIPELIE FFT PROCESSOR Mary RoselineThota,MouniaDandamudi and R.Ramana

More information

Design of an Optimized FBMC Transmitter by using Clock Gating Technique based QAM for Low Area, Power and High Speed Applications

Design of an Optimized FBMC Transmitter by using Clock Gating Technique based QAM for Low Area, Power and High Speed Applications International Journal of Applied Engineering Research ISSN 0973-4562 Volume 3, Number 6 (20) pp. 3767-377 Design of an Optimized FBMC by using Clock Gating Technique based for Low Area, Power and High

More information

Design and Implementation of 64-bit MAC Unit for DSP Applications using verilog HDL

Design and Implementation of 64-bit MAC Unit for DSP Applications using verilog HDL Design and Implementation of 64-bit MAC Unit for DSP Applications using verilog HDL 1 Shaik. Mahaboob Subhani 2 L.Srinivas Reddy Subhanisk491@gmal.com 1 lsr@ngi.ac.in 2 1 PG Scholar Dept of ECE Nalanda

More information

Low Power FIR Filter Design Based on Bitonic Sorting of an Hardware Optimized Multiplier S. KAVITHA POORNIMA 1, D.RAHUL.M.S 2

Low Power FIR Filter Design Based on Bitonic Sorting of an Hardware Optimized Multiplier S. KAVITHA POORNIMA 1, D.RAHUL.M.S 2 ISSN 2319-8885 Vol.03,Issue.38 November-2014, Pages:7763-7767 www.ijsetr.com Low Power FIR Filter Design Based on Bitonic Sorting of an Hardware Optimized Multiplier S. KAVITHA POORNIMA 1, D.RAHUL.M.S

More information

Vector Arithmetic Logic Unit Amit Kumar Dutta JIS College of Engineering, Kalyani, WB, India

Vector Arithmetic Logic Unit Amit Kumar Dutta JIS College of Engineering, Kalyani, WB, India Vol. 2 Issue 2, December -23, pp: (75-8), Available online at: www.erpublications.com Vector Arithmetic Logic Unit Amit Kumar Dutta JIS College of Engineering, Kalyani, WB, India Abstract: Real time operation

More information

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST ǁ Volume 02 - Issue 01 ǁ January 2017 ǁ PP. 06-14 Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST Ms. Deepali P. Sukhdeve Assistant Professor Department

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

A High-Throughput VLSI Architecture for SC-FDMA MIMO Detectors

A High-Throughput VLSI Architecture for SC-FDMA MIMO Detectors A High-Throughput VLSI Architecture for SC-FDMA MIMO Detectors K.Keerthana 1, G.Jyoshna 2 M.Tech Scholar, Dept of ECE, Sri Krishnadevaraya University College of, AP, India 1 Lecturer, Dept of ECE, Sri

More information

Low-Complexity High-Order Vector-Based Mismatch Shaping in Multibit ΔΣ ADCs Nan Sun, Member, IEEE, and Peiyan Cao, Student Member, IEEE

Low-Complexity High-Order Vector-Based Mismatch Shaping in Multibit ΔΣ ADCs Nan Sun, Member, IEEE, and Peiyan Cao, Student Member, IEEE 872 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 12, DECEMBER 2011 Low-Complexity High-Order Vector-Based Mismatch Shaping in Multibit ΔΣ ADCs Nan Sun, Member, IEEE, and Peiyan

More information

Ajmer, Sikar Road Ajmer,Rajasthan,India. Ajmer, Sikar Road Ajmer,Rajasthan,India.

Ajmer, Sikar Road Ajmer,Rajasthan,India. Ajmer, Sikar Road Ajmer,Rajasthan,India. DESIGN AND IMPLEMENTATION OF MAC UNIT FOR DSP APPLICATIONS USING VERILOG HDL Amit kumar 1 Nidhi Verma 2 amitjaiswalec162icfai@gmail.com 1 verma.nidhi17@gmail.com 2 1 PG Scholar, VLSI, Bhagwant University

More information

Index Terms. Adaptive filters, Reconfigurable filter, circuit optimization, fixed-point arithmetic, least mean square (LMS) algorithms. 1.

Index Terms. Adaptive filters, Reconfigurable filter, circuit optimization, fixed-point arithmetic, least mean square (LMS) algorithms. 1. DESIGN AND IMPLEMENTATION OF HIGH PERFORMANCE ADAPTIVE FILTER USING LMS ALGORITHM P. ANJALI (1), Mrs. G. ANNAPURNA (2) M.TECH, VLSI SYSTEM DESIGN, VIDYA JYOTHI INSTITUTE OF TECHNOLOGY (1) M.TECH, ASSISTANT

More information

Tirupur, Tamilnadu, India 1 2

Tirupur, Tamilnadu, India 1 2 986 Efficient Truncated Multiplier Design for FIR Filter S.PRIYADHARSHINI 1, L.RAJA 2 1,2 Departmentof Electronics and Communication Engineering, Angel College of Engineering and Technology, Tirupur, Tamilnadu,

More information

An Optimized Design for Parallel MAC based on Radix-4 MBA

An Optimized Design for Parallel MAC based on Radix-4 MBA An Optimized Design for Parallel MAC based on Radix-4 MBA R.M.N.M.Varaprasad, M.Satyanarayana Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India Abstract In this paper a novel architecture

More information

An area optimized FIR Digital filter using DA Algorithm based on FPGA

An area optimized FIR Digital filter using DA Algorithm based on FPGA An area optimized FIR Digital filter using DA Algorithm based on FPGA B.Chaitanya Student, M.Tech (VLSI DESIGN), Department of Electronics and communication/vlsi Vidya Jyothi Institute of Technology, JNTU

More information

HIGH SPEED FIXED-WIDTH MODIFIED BOOTH MULTIPLIERS

HIGH SPEED FIXED-WIDTH MODIFIED BOOTH MULTIPLIERS HIGH SPEED FIXED-WIDTH MODIFIED BOOTH MULTIPLIERS Jeena James, Prof.Binu K Mathew 2, PG student, Associate Professor, Saintgits College of Engineering, Saintgits College of Engineering, MG University,

More information

A High-Speed QR Decomposition Processor for Carrier-Aggregated LTE-A Downlink Systems

A High-Speed QR Decomposition Processor for Carrier-Aggregated LTE-A Downlink Systems A High-Speed QR Decomposition Processor for Carrier-Aggregated LTE-A Downlink Systems Gangarajaiah, Rakesh; Liu, Liang; Stala, Michal; Nilsson, Peter; Edfors, Ove 013 Link to publication Citation for published

More information

Design of FFT Algorithm in OFDM Communication System

Design of FFT Algorithm in OFDM Communication System T. Chandra Sekhar et al Int. Journal of Engineering Research and Applications RESEARCH ARTICLE OPEN ACCESS Design of FFT Algorithm in OFDM Communication System Baddi.Yedukondalu, Valluri.Jaganmohanrao,

More information

Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure

Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure Vol. 2, Issue. 6, Nov.-Dec. 2012 pp-4736-4742 ISSN: 2249-6645 Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure R. Devarani, 1 Mr. C.S.

More information

LOW-POWER FFT VIA REDUCED PRECISION

LOW-POWER FFT VIA REDUCED PRECISION LOW-POWER FFT VIA REDUCED PRECISION REDUNDANCY Srinivasa R. Sridhara and Naresh R. Shanbhag Coordinated Science LaboratoryECE Dcpartmcnt University of Illinois at Urbana-Champaign 1308 West Main Street,

More information

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay D.Durgaprasad Department of ECE, Swarnandhra College of Engineering & Technology,

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital 426 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 62, NO. 5, MAY 2015 A Novel Hybrid Radix-/Radix-2 SAR ADC With Fast Convergence and Low Hardware Complexity Manzur Rahman, Arindam

More information

Optimized Image Scaling Processor using VLSI

Optimized Image Scaling Processor using VLSI Optimized Image Scaling Processor using VLSI V.Premchandran 1, Sishir Sasi.P 2, Dr.P.Poongodi 3 1, 2, 3 Department of Electronics and communication Engg, PPG Institute of Technology, Coimbatore-35, India

More information

Venkatesan.S 1, Hariharan.J 2. Abstract

Venkatesan.S 1, Hariharan.J 2. Abstract International Journal of Scientific & Engineering Research, Volume 5, Issue 5, MAY-2014 397 Design and implementation of FFT algorithm for MB-OFDM with parallel architecture Venkatesan.S 1, Hariharan.J

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A. Johns

Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A. Johns 1224 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 12, DECEMBER 2008 Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A.

More information

Design of an optimized multiplier based on approximation logic

Design of an optimized multiplier based on approximation logic ISSN:2348-2079 Volume-6 Issue-1 International Journal of Intellectual Advancements and Research in Engineering Computations Design of an optimized multiplier based on approximation logic Dhivya Bharathi

More information

A Design and Implementation of 32-paths Parallel 256-Point FFT/IFFT for Optical OFDM Systems

A Design and Implementation of 32-paths Parallel 256-Point FFT/IFFT for Optical OFDM Systems A Design and Implementation of paths Parallel 5Point T/IT for Optical OFDM Systems HunSik Kang* Sun Hyok Chang* InKi Hwang* JoonKi Lee* *Optical Internet Research Department ETRI Gajeongro Yuseonggu Daejeon

More information

Bit Error Rate Analysis of OFDM

Bit Error Rate Analysis of OFDM Bit Error Rate Analysis of OFDM Nishu Baliyan 1, Manish Verma 2 1 M.Tech Scholar, Digital Communication Sobhasaria Engineering College (SEC), Sikar (Rajasthan Technical University) (RTU), Rajasthan India

More information

AN EFFICIENT MULTI RESOLUTION FILTER BANK BASED ON DA BASED MULTIPLICATION

AN EFFICIENT MULTI RESOLUTION FILTER BANK BASED ON DA BASED MULTIPLICATION AN EFFICIENT MULTI RESOLUTION FILTER BANK BASED ON DA BASED MULTIPLICATION Namitha Jose M 1 and U Hari 2 1 PG student Department of ECE 2 Asst. Professor Department of ECE ABSTRACT Multi-resolution filter

More information