IMI Labs Semiconductor Applications. June 20, 2016

Size: px
Start display at page:

Download "IMI Labs Semiconductor Applications. June 20, 2016"

Transcription

1 IMI Labs Semiconductor Applications June 20, 2016

2 Materials Are At the Core of Innovation in the 21st Century Weight Space Flexibility Heat Management Lightweight Energy Efficient Temperature Energy Efficient Smart Buildings Performance Power Cost Scaling Lightweight Energy Efficient 2

3 The Materials Innovation Problem Empirical approach needed Long learning cycle times Manufacturing environment is adverse to change Materials innovation is complex, costly and slow Many options, one experiment at a time Limited R&D infrastructure for experimentation Risk management of new materials 3

4 Trusted Partner For Materials Innovation World-class interdisciplinary team Unique high-throughput experimentation platform IMI Serving large markets where new materials are key State-of-the art development facility and characterization Top memory semiconductor manufacturers are customers Fast Facts Nasdaq: IMI CEO: Chris Kramer 2015 Revenue: $45.3M 4

5 Product Lines IMI Discoveries Licensed Products IMI Labs Materials Innovation as a Service DRAM - Non Volatile Memory - Logic 5

6 Materials Innovation As A Service INVESTMENT Government & Universities Reproducibility Data quality Experimentation Transfer to production GAP Private Sector Product Manufacturers 6 Credit: Jack Hu/NIST

7 Closing The Gap in the Ecosystem Academia/Consortia Equipment and Materials CUSTOMERS Government End-products 7

8 Growing Complexity & Cost of Semiconductor Process Technology Development Costs By Node $ Millions 2,000 1,500 1, nm 65nm 45nm 32nm 22nm 14nm Source: Common Platform & All Partners Analysis New material selection and evaluation was one of the hardest parts of 14 nanometer logic development. Dr. Sanjay Natarajan, Former Intel VP and 14nm Program Manager Advanced materials are key to the Semiconductor roadmap and leadership Since 2000s, 50 materials, often in complex compound or stacks were developed Early identification of suitable materials is a significant advantage Source: Intel 8

9 Next Wave of Semiconductors Memory Logic DRAM NAND Storage Class Memory 7nm and beyond 1Y/1Z nm 3D Architecture High mobility Channel MIM Capacitors stack Charge Storage layers Selector I ON /I OFF Embedded NV memory High-K dielectrics Barrier Electrodes 4F 2 cell Band engineered stack Electrodes Phase change material R Memory element 10nm conductors Low resistance contacts Beyond CMOS: Spin/ Tunnel FET Courtesy of Dr. Scott E. Thompson Facing Significant Materials Challenges New materials like Chalcogenides Not a single materials but combined stacks New elements interfacing with multiple layers Multiple stringent specs to meet Low leakage, performance, nanoscale Modeling is limited and empirical data are required Limited R&D infrastructure 9 Enormous risks in bringing new materials in expensive production Fabs

10 Semiconductor Materials Challenges The industry is facing major challenges ranging from architecture choices to materials selection. The next wave of semiconductors will require inventing over 40 materials. Dr. Scott E. Thompson, IEEE Fellow, U. Florida You are going to see an explosion of materials in memory, said Gregg Bartlett, senior VP and CTO of GLOBALFOUNDRIES, SEMI SMC D Chalcogenides STT-RAM Source: IBM JVSTB_2014 Source :ASM 2015, IMI Example: Universal Memory L.Perniola et al., IMW Example : High K Dielectric Materials Challenges Yim et al., NPG Asia Materials (2015) 7, e190; doi: /am

11 IMI Labs Flexible Offering Standard Services IMI LABS Custom Services SCAN SEARCH STUDY SOLVE Quick mapping of materials composition Rule in / rule out Explore multiple materials for a given application More complex, more data Customer directed exploration of materials IMI delivers to customer s specifications FAST TURN VALUE ADDED EXPERTISE 11

12 Unique Development Platform High throughput experimentation accelerates and de-risks materials innovation Materials Expertise 130+ engineers and scientists 65% advanced degrees Application knowledge Understanding of integration issues 12 Accelerated Experimentation Analytics Excellence

13 Benefits of High-Throughput Experimentation Conventional Optimized for Manufacturing Optimized for Materials Understanding Experiments per Wafer Experimental Efficiency Material & Process Options û One û Limited û Few Experiments per Wafer Experimental Efficiency Material & Process Options ü 10 to 100 ü High ü Many 13

14 Capabilities In Place For Materials Understanding FACILITY CHARACTERIZATION Cleanroom Composition DEPOSITION PVD* MATERIALS Mechanical ALD* Metal Oxides and Nitrides Electrical WETS Metal Alloys Controlled Process Flow Optical Integration Chalcogenides 14 *PVD Physical Vapor Deposition ALD Atomic Layer Deposition

15 IMI Labs Semiconductor Uses Cases Memory Use Cases High-Throughput Experimentation - New Materials for Devices DRAM Capacitor cell development and characterization Screen and optimize material systems for MIM Capacitors PVD and ALD based evaluation of several different dielectrics, electrodes, or interlayer materials in a MIM capacitor film stack PVD co-sputtering combined on a cluster platform with other PVD, ALD and anneal chambers Extensive physical and electrical characterization Dielectrics, electrode materials and interface layers Non Volatile Memories NVM cell and selector devices Screen and optimize metal-oxide, MIEC, or OTS (phase-change) material systems for nonvolatile memory PVD based evaluation of multi-nary materials (> 5 elements) and metal/metal nitride electrodes. PVD co-sputtering with multiple, composite PVD targets Extensive physical and electrical characterization Chalcogenide & metal-oxide switching layers and metal/nitride electrodes 15

16 Expansion of Capabilities Expand -Materials- -Process- -Characterization- Consumer Industrial Automotive Aerospace Semiconductor Memories Logic Optical coatings Displays Architectural Glass Alloys 16

17 Summary Materials are at the core of innovation in the 21 st century Materials innovation is complex, costly and slow There is a gap between the data produced by academia and government, and the need to scale new materials to production by product companies Experimentation is key to materials understanding. The entire innovation ecosystem needs a materials innovation platform and associated expertise to generate reproducible, high quality data in a flexible way The next wave of semiconductors rely on new and complex materials The new IMI Labs service bridges this gap, opening up the IMI high-throughput experimentation platform, materials expertise and analytics to the industry to accelerate and de-risk the exploration, discovery, characterization and selection of advanced materials The first offering, IMI Labs - Semiconductor, showcases use cases for DRAM and Nonvolatile Memory applications IMI Labs continues to expand capabilities in other areas such as Logic, Optical Coatings, Automotive and Aerospace IMI s mission is to be the industry s trusted partner for advanced materials innovation 17

18

In pursuit of high-density storage class memory

In pursuit of high-density storage class memory Edition October 2017 Semiconductor technology & processing In pursuit of high-density storage class memory A novel thermally stable GeSe-based selector paves the way to storage class memory applications.

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

research in the fields of nanoelectronics

research in the fields of nanoelectronics FRAUNHOFEr center Nanoelectronic Technologies research in the fields of nanoelectronics 1 contents Fraunhofer CNT in Profile 3 Competence Areas Analytics 4 Functional Electronic Materials 5 Device & Integration

More information

The Development of the Semiconductor CVD and ALD Requirement

The Development of the Semiconductor CVD and ALD Requirement The Development of the Semiconductor CVD and ALD Requirement 1 Linx Consulting 1. We create knowledge and develop unique insights at the intersection of electronic thin film processes and the chemicals

More information

Value Creation of AI in the Manufacturing Industry

Value Creation of AI in the Manufacturing Industry Value Creation of AI in the Manufacturing Industry Janet George Fellow/Chief Data Scientist Western Digital Corporation September 28 th, 2016 2016 Western Digital Corporation or its affiliates. All rights

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

Nanotechnology, the infrastructure, and IBM s research projects

Nanotechnology, the infrastructure, and IBM s research projects Nanotechnology, the infrastructure, and IBM s research projects Dr. Paul Seidler Coordinator Nanotechnology Center, IBM Research - Zurich Nanotechnology is the understanding and control of matter at dimensions

More information

National Centre for Flexible Electronics

National Centre for Flexible Electronics National Centre for Flexible Electronics Tripartite Partnership Government FlexE Centre - A platform for a meaningful interaction between industry and academia. An interdisciplinary team that advances

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

W ith development risk fully borne by the equipment industry and a two-year delay in the main

W ith development risk fully borne by the equipment industry and a two-year delay in the main Page 1 of 5 Economic Challenges and Opportunities in the 300 mm Transition Iddo Hadar, Jaim Nulman, Kunio Achiwa, and Oded Turbahn, Applied Materials Inc. -- 10/1/1998 Semiconductor International W ith

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline The Road Ahead for Nano-Fabrication

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

IBM Research - Zurich Research Laboratory

IBM Research - Zurich Research Laboratory October 28, 2010 IBM Research - Zurich Research Laboratory Walter Riess Science & Technology Department IBM Research - Zurich wri@zurich.ibm.com Outline IBM Research IBM Research Zurich Science & Technology

More information

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU Danchip National Center for Micro- and Nanofabrication DTU Danchip DTU Danchip is Denmark

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

21 st Annual Needham Growth Conference

21 st Annual Needham Growth Conference 21 st Annual Needham Growth Conference Investor Presentation January 15, 2019 Safe Harbor Statement The information contained in and discussed during this presentation may include forward-looking statements

More information

Economic Impact of the Albany Cluster. Kenneth Adams President & CEO, Commissioner Empire State Development

Economic Impact of the Albany Cluster. Kenneth Adams President & CEO, Commissioner Empire State Development Economic Impact of the Albany Cluster Kenneth Adams President & CEO, Commissioner Empire State Development Governor Andrew M. Cuomo Lt. Governor Robert J. Duffy In 2010, industry shipped over $110 billion

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978) IC Knowledge LLC, PO Box 20, Georgetown, MA 01833 www.icknowledge.com Ph: (978) 352 7610, Fx: (978) 352 3870 Linx Consulting, PO Box 384, Mendon, MA 01756 0384 www.linxconsulting.com Ph: (617) 273 8837

More information

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

ISSCC 2003 / SESSION 1 / PLENARY / 1.1 ISSCC 2003 / SESSION 1 / PLENARY / 1.1 1.1 No Exponential is Forever: But Forever Can Be Delayed! Gordon E. Moore Intel Corporation Over the last fifty years, the solid-state-circuits industry has grown

More information

SUNY Poly in a New Era

SUNY Poly in a New Era SUNY Poly in a New Era Bahgat Sammakia Interim President, SUNY Polytechnic Institute SUNY Poly in a New Era Overview SUNY Poly is recognized as a global leader in advanced electronics Research and Development,

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU Danchip National Center for Micro- and Nanofabrication DTU Danchip DTU Danchip is Denmark

More information

NanoFabrication Kingston. Seminar and Webinar January 31, 2017 Rob Knobel Associate Professor, Dept. of Physics Queen s University

NanoFabrication Kingston. Seminar and Webinar January 31, 2017 Rob Knobel Associate Professor, Dept. of Physics Queen s University NanoFabrication Kingston Seminar and Webinar January 31, 2017 Rob Knobel Associate Professor, Dept. of Physics Queen s University What is NFK? It s a place, an team of experts and a service. The goal of

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

Towards a Reconfigurable Nanocomputer Platform

Towards a Reconfigurable Nanocomputer Platform Towards a Reconfigurable Nanocomputer Platform Paul Beckett School of Electrical and Computer Engineering RMIT University Melbourne, Australia 1 The Nanoscale Cambrian Explosion Disparity: Widerangeof

More information

Recent Trends in Semiconductor IC Device Manufacturing

Recent Trends in Semiconductor IC Device Manufacturing Recent Trends in Semiconductor IC Device Manufacturing August 2007 Dr. Stephen Daniels Executive Director National Centre for Plasma Moore s Law Moore s First Law Chip Density will double ever 18months.

More information

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09 Study Number MA108-09 August 2009 Copyright Semico Research, 2009. All rights reserved. Reproduction in whole or part is prohibited without permission of Semico. The contents of this report represent

More information

UNCLASSIFIED FISCAL YEAR (FY) 2009 BUDGET ESTIMATES

UNCLASSIFIED FISCAL YEAR (FY) 2009 BUDGET ESTIMATES Exhibit R-2, RDT&E Budget Item Justification Date: February 2008 R-1 Item Nomenclature: PROGRAM: Small Business Innovation Research PROGRAM ELEMENT: 0605502S Cost ($ in millions) FY 2007 FY 2008 FY 2009

More information

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Scientific Highlights 2016

Scientific Highlights 2016 Scientific Highlights 2016 Science and Technology Sector Schools and Faculties Faculty of Science Louvain School of Engineering (EPL) Faculty of Architecture, Architectural Engineering and Urban Planning

More information

Legacy & Leading Edge Both are Winners

Legacy & Leading Edge Both are Winners Legacy & Leading Edge Both are Winners Semicon CMP User Group July 16, 2015 Sue Davis 408-833-5905 CMP Team Contributors: Mike Fury, Ph.D. Karey Holland, Ph.D. Jerry Yang, Ph.D. www.techcet.com 1 Outline

More information

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION OPTICAL MONITORING TECHNOLOGIES ENABLING OUR NEW WORLD! - ACHIEVING MORE DEMANDING THIN FILM SPECIFICATIONS - DRIVING DOWN UNIT COSTS THE GSM1101

More information

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process Intel Xeon E3-1230V2 CPU Structural Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural Analysis Some of the information in this report may

More information

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Gareth Bignell, FE Equipment Procurement Director SEMICON Europa 2012 Presentation Summary 2 An introduction to STMicroelectronics The

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Sony IMX018 CMOS Image Sensor Imager Process Review

Sony IMX018 CMOS Image Sensor Imager Process Review September 6, 2006 Sony IMX018 CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4]

6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4] camline http://www.camline.com Booth 22 camline s mission is to provide the highest quality software solutions for factory automation and logistics, helping global manufacturers maintain their competitive

More information

Samsung K4B1G0846F-HCF8 1 Gbit DDR3 SDRAM 48 nm CMOS DRAM Process

Samsung K4B1G0846F-HCF8 1 Gbit DDR3 SDRAM 48 nm CMOS DRAM Process Samsung K4B1G0846F-HCF8 48 nm CMOS DRAM Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics

More information

Intel s High-k/Metal Gate Announcement. November 4th, 2003

Intel s High-k/Metal Gate Announcement. November 4th, 2003 Intel s High-k/Metal Gate Announcement November 4th, 2003 1 What are we announcing? Intel has made significant progress in future transistor materials Two key parts of this new transistor are: The gate

More information

End-of-line Standard Substrates For the Characterization of organic

End-of-line Standard Substrates For the Characterization of organic FRAUNHOFER INSTITUTe FoR Photonic Microsystems IPMS End-of-line Standard Substrates For the Characterization of organic semiconductor Materials Over the last few years, organic electronics have become

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling imec 2009 1 The Role of European Research Institutes in the 450mm Wafer Transition Process IMEC nanoelectronics platform A Collaborative approach towards 450mm R&D IMEC March 2009 Outline Introduction

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

The Future for Printed Electronics

The Future for Printed Electronics The Future for Printed Electronics Jon Helliwell National Centre for Printable Electronics 24 October, 2013 Copyright CPI 2013. All rights reserved What is Printed Electronics? Organic and printed electronics

More information

The Sherwin-Williams Company

The Sherwin-Williams Company The Sherwin-Williams Company "What is Worth Doing is Worth Doing Well." Founded in 1866 in Cleveland, Ohio #1 coatings company in the Americas #3 coatings company worldwide Over 40,000 employees worldwide

More information

MEDIA RELEASE INSTITUTE OF MICROELECTRONICS KICKS OFF COPPER WIRE BONDING CONSORTIUM II TO TACKLE COPPER INTERCONNECTS RELIABILITY ISSUES

MEDIA RELEASE INSTITUTE OF MICROELECTRONICS KICKS OFF COPPER WIRE BONDING CONSORTIUM II TO TACKLE COPPER INTERCONNECTS RELIABILITY ISSUES MEDIA RELEASE INSTITUTE OF MICROELECTRONICS KICKS OFF COPPER WIRE BONDING CONSORTIUM II TO TACKLE COPPER INTERCONNECTS RELIABILITY ISSUES 1. Singapore, 25 March, 2013 -- The Institute of Microelectronics

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

IBM POWER7 Server 46J6702 IBM 45 nm Dual Stress Liner SOI CMOS Process with edram

IBM POWER7 Server 46J6702 IBM 45 nm Dual Stress Liner SOI CMOS Process with edram IBM POWER7 Server 46J6702 IBM 45 nm Dual Stress Liner SOI CMOS Process with edram Front End Process Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com

More information

ISMI 450mm Transition Program

ISMI 450mm Transition Program SEMATECH Symposium Taiwan September 7, 2010 Accelerating Manufacturing Productivity ISMI 450mm Transition Program Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141 EECS 151/251A Spring 2019 Digital Design and Integrated Circuits Instructors: Wawrzynek Lecture 8 EE141 From the Bottom Up IC processing CMOS Circuits (next lecture) EE141 2 Overview of Physical Implementations

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Kjeld Pedersen Department of Physics and Nanotechnology, AAU SEMPEL Semiconductor Materials for Power Electronics

More information

Electronic Material Systems

Electronic Material Systems Electronic Material Systems Barry Russell Operating Vice President 1 Safe Harbor Statement Today s presentations may contain forward-looking statements within the meaning of the Private Securities Litigation

More information

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 A*STAR S IME KICKS OFF CONSORTIA TO DEVELOP ADVANCED PACKAGING SOLUTIONS FOR NEXT-GENERATION INTERNET OF THINGS APPLICATIONS AND HIGH-PERFORMANCE WIRELESS

More information

Elpida Memory Inc. B240ABB (die markings), MC77-LL/A (package markings) 46 nm Mobile / Low Power DDR2 SDRAM

Elpida Memory Inc. B240ABB (die markings), MC77-LL/A (package markings) 46 nm Mobile / Low Power DDR2 SDRAM Elpida Memory Inc. B240ABB (die markings), MC77-LL/A (package markings) 46 nm Mobile / Low Power DDR2 SDRAM DRAM Process Report - Preliminary Table of Contents 3 Table of Contents Introduction Major Findings

More information

Cross Linking Research and Education and Entrepreneurship

Cross Linking Research and Education and Entrepreneurship Cross Linking Research and Education and Entrepreneurship MATLAB ACADEMIC CONFERENCE 2016 Ken Dunstan Education Manager, Asia Pacific MathWorks @techcomputing 1 Innovation A pressing challenge Exceptional

More information

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

Lecture Notes 5 CMOS Image Sensor Device and Fabrication Lecture Notes 5 CMOS Image Sensor Device and Fabrication CMOS image sensor fabrication technologies Pixel design and layout Imaging performance enhancement techniques Technology scaling, industry trends

More information

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1 A Presentation to the National Academies July 29, 2009 Larry W. Sumney President/CEO Semiconductor Research Corporation1 What is SRC? World s leading consortium funding collaborative university research

More information

Roadmap Semiconductor Equipment Innovation Agenda

Roadmap Semiconductor Equipment Innovation Agenda Roadmap Semiconductor Equipment Innovation Agenda 2018-2021 1. Societal and economic relevance Over the years, electronics have become an inseparable part of our lives. Think of the internet, the cloud,

More information

Catapult Network Summary

Catapult Network Summary Catapult Network Summary 2017 TURNING RESEARCH AND INNOVATION INTO GROWTH Economic impact through turning opportunities into real-world applications The UK s Catapults harness world-class strengths in

More information

IBM Research Zurich. A Strategy of Open Innovation. Dr. Jana Koehler, Manager Business Integration Technologies. IBM Research Zurich

IBM Research Zurich. A Strategy of Open Innovation. Dr. Jana Koehler, Manager Business Integration Technologies. IBM Research Zurich IBM Research Zurich A Strategy of Open Innovation Dr., Manager Business Integration Technologies IBM A Century of Information Technology Founded in 1911 Among the leaders in the IT industry in every decade

More information

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Ph. Robert 1 Content LETI at a glance From MEMS to NEMS: 30 years of technological evolution

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

Organic and flexible Electronics in Saxony www.invest-in-saxony.com WElCOME Organic electronics are based on the discovery that specific organic materials possess semiconducting properties. Functional

More information

President Barack Obama The White House Washington, DC June 19, Dear Mr. President,

President Barack Obama The White House Washington, DC June 19, Dear Mr. President, President Barack Obama The White House Washington, DC 20502 June 19, 2014 Dear Mr. President, We are pleased to send you this report, which provides a summary of five regional workshops held across the

More information

Sustaining the Si Revolution: From 3D Transistors to 3D Integration

Sustaining the Si Revolution: From 3D Transistors to 3D Integration Sustaining the Si Revolution: From 3D Transistors to 3D Integration Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley, CA USA February 23, 2015

More information

Projects and Partners Working with Chalcogenide Advanced Manufacturing Partnership (ChAMP)

Projects and Partners Working with Chalcogenide Advanced Manufacturing Partnership (ChAMP) Projects and Partners Working with Chalcogenide Advanced Manufacturing Partnership (ChAMP) Dr John Lincoln Industrial Liaison Officer j.lincoln@soton.ac.uk March 2015 ChAMP Aims Establish world leading

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

Advancing Industry Productivity

Advancing Industry Productivity Advancing Industry Productivity Iddo Hadar Joint Productivity Working Group Session Austin, Texas Thursday, October 12, 2006 F O U N D A T I O N E N G I N E E R I N G G R O U P Safe Harbor Statement This

More information

Lithography in our Connected World

Lithography in our Connected World Lithography in our Connected World SEMI Austin Spring Forum TOP PAN P R INTING CO., LTD MATER IAL SOLUTIONS DIVISION Toppan Printing Co., LTD A Broad-Based Global Printing Company Foundation: January 17,

More information

A Brief Introduction to Single Electron Transistors. December 18, 2011

A Brief Introduction to Single Electron Transistors. December 18, 2011 A Brief Introduction to Single Electron Transistors Diogo AGUIAM OBRECZÁN Vince December 18, 2011 1 Abstract Transistor integration has come a long way since Moore s Law was first mentioned and current

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Welcome to. A facility within the Nanometer Structure Consortium (nmc) at Lund University. nanolab. lund

Welcome to. A facility within the Nanometer Structure Consortium (nmc) at Lund University. nanolab. lund lund nanolab Welcome to A facility within the Nanometer Structure Consortium (nmc) at Lund University »It s a dream come true. This is the lab I always dreamt of. I didn t know it would ever exist.«ivan

More information

Non-Volatile Memory Based on Solid Electrolytes

Non-Volatile Memory Based on Solid Electrolytes Non-Volatile Memory Based on Solid Electrolytes Michael Kozicki Chakku Gopalan Murali Balakrishnan Mira Park Maria Mitkova Center for Solid State Electronics Research Introduction The electrochemical redistribution

More information

Powerchip Semiconductor Corporation A3R12E3GEF G6E 635BLC4M 512 Megabit DDR2 SDRAM Structural Analysis

Powerchip Semiconductor Corporation A3R12E3GEF G6E 635BLC4M 512 Megabit DDR2 SDRAM Structural Analysis February 23, 2007 Powerchip Semiconductor Corporation A3R12E3GEF G6E 635BLC4M Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Proposing a European Partnership in HORIZON EUROPE

Proposing a European Partnership in HORIZON EUROPE Proposing a European Partnership in HORIZON EUROPE Helmut Dosch Chair of LEAPS ICRI 2018-4 th International Conference on Research Infrastructures VIENNA, Austria Sep 12-14, 2018 Better Science for Europe

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM Technology Transfers Opportunities, Process and Risk Mitigation Radhika Srinivasan, Ph.D. IBM Abstract Technology Transfer is quintessential to any technology installation or semiconductor fab bring up.

More information

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November 2006 Forward Looking Statement The presentation today may

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

STMicroelectronics LIS3L02AE 3-Axis Accelerometer. MEMS Process Review

STMicroelectronics LIS3L02AE 3-Axis Accelerometer. MEMS Process Review 3-Axis Accelerometer For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please call Sales at Chipworks. 3685 Richmond

More information

Nanomanufacturing and Fabrication By Matthew Margolis

Nanomanufacturing and Fabrication By Matthew Margolis Nanomanufacturing and Fabrication By Matthew Margolis Manufacturing is the transformation of raw materials into finished goods for sale, or intermediate processes involving the production or finishing

More information

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven Public Introduction to ASML Ron Kool SVP Corporate Strategy and Marketing March-2015 Veldhoven 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

More information

Large Area, Flexible Electronics TWG. Chair: Dan Gamota, Jabil

Large Area, Flexible Electronics TWG. Chair: Dan Gamota, Jabil Large Area, Flexible Electronics TWG Chair: Dan Gamota, Jabil Large Area Flexible Electronics Roadmap History 09/2005 inemi Stakeholders identify Flexible Electronics as Future Growth Market and authorize

More information

Nikon 12.1 Mp CMOS Image Sensor from a D3s DSLR Camera with NC81361A Die Markings

Nikon 12.1 Mp CMOS Image Sensor from a D3s DSLR Camera with NC81361A Die Markings Nikon 12.1 Mp CMOS Image Sensor from a D3s DSLR Camera with NC81361A Die Markings Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs

More information

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Jean-Marc Chery Chief Operating Officer Front-End Manufacturing Unique capability 2 Technology portfolio aligned with application focus areas Flexible IDM model with foundry

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

Co Capping Layers for Cu/Low-k Interconnects

Co Capping Layers for Cu/Low-k Interconnects IBM Research Co Capping Layers for /Low-k Interconnects Chih-Chao Yang IBM ChihChao@us.ibm.com Co-Authors: International Business Machines Corp. P. Flaitz, B. Li, F. Chen, C. Christiansen, and D. Edelstein

More information

Oki 2BM6143 Microcontroller Unit Extracted from Casio GW2500 Watch 0.25 µm CMOS Process

Oki 2BM6143 Microcontroller Unit Extracted from Casio GW2500 Watch 0.25 µm CMOS Process Oki 2BM6143 Microcontroller Unit Extracted from Casio GW2500 Watch 0.25 µm CMOS Process Custom Process Review with TEM Analysis For comments, questions, or more information about this report, or for any

More information

MEMRISTOR DEVICES: FABRICATION, CHARACTERIZATION, SIMULATION, AND CIRCUIT DESIGN. Thesis. Submitted to. The School of Engineering of the

MEMRISTOR DEVICES: FABRICATION, CHARACTERIZATION, SIMULATION, AND CIRCUIT DESIGN. Thesis. Submitted to. The School of Engineering of the MEMRISTOR DEVICES: FABRICATION, CHARACTERIZATION, SIMULATION, AND CIRCUIT DESIGN Thesis Submitted to The School of Engineering of the UNIVERSITY OF DAYTON In Partial Fulfillment of the Requirements for

More information

Swedish lightweight solutions carry weight

Swedish lightweight solutions carry weight Swedish lightweight solutions carry weight Cecilia Ramberg E-LÄSS Borås 180130 Watch our film on YouTube Swedish or English version Strategiskt innovationsprogram Lättvikt 2018-01-31 2 LIGHTer is a strategic

More information

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Some of the information in this report may be covered

More information