Neuromorphic Computing based Processors

Size: px
Start display at page:

Download "Neuromorphic Computing based Processors"

Transcription

1 Neuromorphic Computing based Processors Hao Jiang A collaborative research among San Francisco State University, EI-Lab at University of Pittsburgh, HP Labs, and AFRL Outline Why Neuromorphic Computing? Challenges and New Opportunity Spiking Neuromorphic Design A Framework of Heterogeneous Computing Systems Conclusion 2 1

2 Why Neuromorphic Computing? Computation & Control Tape Head Von Neumann arch. is facing severe challenges Von Neumann bottleneck Inefficient in cognitive computations Human brain: high efficiency 100 TFLOPS vs. 20 Watt Highly connected: 50B neurons & synapses Very light: 3 lbs Neuromorphic Design by Leveraging Memristor Technology 3 Brain The Most Efficient Computing Machine Brain: 15 30B neurons Extremely complex 4km/mm 3 35w Gray matter White matter Neocortex 6 layers Signals travel within and between layers Neuron: Process signals from other neurons. Synapse: Memory Weight signals Neural Network 4 2

3 Brain like Neuromorphic Circuits Slow progress in neuromorphic hardware implementation Lack of efficient synapse design Not supportive to mass connection Highly parallel Ultra power efficient Real world input Data friendly Human friendly output Flexible Extremely robust 5 Outline Why Neuromorphic Computing? Challenges and New Opportunity Spiking Neuromorphic Design A Framework of Heterogeneous Computing Systems Conclusion 6 3

4 Challenges in Traditional Approach Developing and implementing neural network models on large scale computer clusters or supercomputers. Performance (100M MIPS) Challenge Energy Challenge Megawatts U.S. households 7 Traditional Analog Approach Weight matrix W Y = f (W X) X Y Implementation Difficulties Scaling Weight floating gates, capacitor, etc. Volatile data, low precision, control signal O(N 2 ) for weight carrier Compute op-amps, analog voltage multipliers and differentiators Voltage offset, noise generation, voltage saturation O(N 2 ) for voltage multiplier Successful in small scale systems Intrinsic, hard to overcome Design complexity, power, and area grow very fast 8 4

5 Latest Progress IBM TrueNorth Numenta HTM Micron Automata 9 Memristor Rebirth of Analog Approach Memristor Memristor Crossbar M = R L α + R H (1- α) Natural weight carriers: Non volatility, high density Analog resistance states Two terminal programming I = V M1 /M1 + V M2 /M V Mn /M n Natural weight summation MIMO: avoid sneak path Cost ~ O(N), not O(N 2 ) 10 5

6 Memristor Rebirth of Neuromorphic Circuits Memristor Synapse Two terminal, high density Non volatility Analog/multi level states Crossbar Network Natural matrix function A MIMO system Good combination with memristor TaN1+x EI lab, APL 13 HP lab, N1 V I BL j N2 Resistance ( ) Voltage (V) N3 N4 Ni Ni+1 WL i m i,j Pulse number TiN-TaOx device, pulses grows linearly in amplitude EI lab & HP lab Nn V O N1 N2 N3 Nj-1 Nj Nn-1 Nn EI lab, DAC Outline Why Neuromorphic Computing? Challenges and New Opportunity Spiking Neuromorphic Design A Framework of Heterogeneous Computing Systems Conclusion 12 6

7 Spiking based Neuromorphic Computing Why spiking? Inspired by human brains Minimized transition electrical charge Reduced data communication distance High parallelism in processing Approaches in hardware system Analog and digital circuit blocks, capacitors Crossbar array basing on SRAM, PCM, Memristor cell In this work: Memristor based crossbar array as synapse 13 Spiking Neuromorphic System Matrix computation transformation Spiking based computation system 010 Mathematical matrix computation 101 V 1 g 11 g 12 g 1n Integrate and Fire V 2 g 21 g 22 g 2n Counters 100 V m M Σ i=1 g ij V i g m1 g m2 g mn I 1 I 2 I n Memristor based crossbar array for matrix computation Closer to biological system Power efficiency High reliability 14 7

8 Computation Methodology Working mechanism: t s T m i = 2 V m C m V th V out V m < V th C m integrates V m V th A spike is fired out, then C m is rest to 0 Spike occurs: Weighted current to integrator No spike: No current to & from integrator Traditional integrate and fire model Rate coding N Ideal:n j Σ i=1 g ij m i 15 Memristor crossbar array structure 1S1M memristor based cell Current (A) Alleviate the impact of sneak path leakage A thin film based selector after each memristor Minimal unit cell area of 4F Voltage (V) Memristor Selector Selector property and operation Spike occurs: Selector ON,andR s_on <<R M Nospike:Selector OFF,andR s_off >> R M g ij g g s ij g~ ij = g ij + g s 16 8

9 High speed Integrate and Fire Circuit (H IFC) V REF =33% of vin 17 Integrate and Fire Circuit V Cm Vout R mem V Cm C m V TH V OUT Structure and property V in Comparator Integrate capacitor, Reset transistor, Comparator with positive feedback I in High speed V th is much smaller than V dd Power and area ~100μW 28μm 12μm (180μm Technology) 18 9

10 System Verification Spiking Inputs... g 11 g 12 g 1n g 21 g 22 g 2n g n1 g n2 g nn C m Fire Circuit I 1 I 2... I n I&F I&F I&F 19 Output Pulse Number Pulse Duration Input Voltage Output Pulse Number Sensing Capacitance Comparator Trigging Voltage Memristor Conductance Selected Row 20 10

11 Theoretical Computation vs. Simulation Results Output Spike Number Simulation Result Ideal Linear Curve N 10 i=1 m i g -4 ij Parameters V th 0.5V C m 50fF V dd 1.8V Real: Nonlinearity Sums of weighted signal dependent Reasons: Reset time IFCdelay Optimization: LargerC m Higher speed of IFC Be used in neural network 21 Adaptability in Neuron Network WL1 WL1 WL2... WL31 WL2... WL31 WL32 WL32 V out (ns) (a) V out (ns) (c) WL1 WL2... WL31 WL32 V out (ns) (b) Output Spike Number (n j ) a 19 b 20 c 19 Good adaptability in neural network 22 11

12 Outline Why Neuromorphic Computing? Challenges and New Opportunity Spiking Neuromorphic Design A Framework of Heterogeneous Computing Systems Conclusion 23 Our Approach A framework of heterogeneous computing systems enhanced with neuromorphic computing accelerators (NCAs). Purpose: To combine the flexibility of conventional architecture in logic and scientific computation and the efficiency of neuromorphic architecture for ANN applications

13 Frontend: Prepare Data & Instructions Training bool RecallBSB(float *vec, float *wm) { /* simulate the synapse network*/ for(i=0;i<bsbsize;++i) wx[i] += wm[i*bsbsize+j] * vec[j]; /* activation fu nction*/ fo r(i=0;i<bsb Size; ++i) wx[i] = ALPHA*wx[i] + LAMDA*vec[i]; /* check convergence */ for(i=0;i<bsbsize;++i) if(fabsf(vec[i])!= 1.0) return false; return true; } Source to source translation bool RecallBSB(float *vec) { /*inputs to NCA*/ Send(NCA.id, vec); /*outpus from NCA*/ return Receive(NCA.id) } NCA aware compilation ; send each input from register to input ; buffer associated with specific NCA LW R1, $(vec) MOVD NCA.id, R1 ; launch the NCA SET NCA.id, #VAL LAUNCH ; put the output from output buffer of ; NCA to register, here is only one output DEQ R1, NCA.id RET Instruction Type Description set p reg Configuration Place the routing information stored at register reg to central router movd #(reg) I/O Load the data from memory to NCA launch Configuration Notify the central router to start transmitting deq reg I/O Dequeue the head data of Out queue and write it to register reg 25 Backend: System Design General Purpose Processor Bridge ADC NCA I/O Cfg Buffers Arbiter NCA I/O Cfg Buffers Arbiter SRAM I/O Bridge ADC NCA I/O Cfg Buffers Arbiter NCA I/O Cfg Buffers Arbiter Conventional Processing Neuromorphic Computing Accelerators Fetch Decode Issue Execute Memory RF NCA $ Write back NCA Tightly coupled design Invoked by special inst. Pipeline RF 26 13

14 NCA Architecture A hierarchical structure of MBC arrays Group Router Group Router Central Router SUM AMP Group Router Group Router Mixed signal NoC Analog computation Digital control and routing signal transition MBC arrays connected in a metamorphous centralized mesh (MCMesh) manner 27 System Level Evaluation Two implementations representing tradeoffs between computation performance and accuracy Multi-layer perception (MLP) Auto-associative memory (AAM) Benchmark Description 7 classification benchmarks Classification rate is used as reliability metric cancer connect-4 gene lymphography MNIST mushroom thyroid breast cancer diagnose connect-4 game nucleotide sequences detection lymph diagnose digit recognition poisonous mushroom discrimination thyroid diagnose 28 14

15 Experimental Setup The Design Parameters of NCA Components The Benchmark Implementation Details 29 Impact of Deficient Hardware Programming precision due to limited device resolution Device variations and signal fluctuations AAM is more robust than MLP 30 15

16 MBC Size Exploration Larger array size is preferable from performance perspective However, as array size increases the classification rate degrades induced by the aggravated variations 31 Comparison w/ Other Designs Baseline: CPU as general purpose processor D NPU: a popular digital neuromorphic accelerator (MICRO 12) Weight buffer Multiply-add Input buffer PE PE PE PE Accumulator Register Output buffer Sigmoid Unit PE PE PE PE PE PE PE PE PE PE PE PE MBCs+D Net: MBC arrays w/ digital NoC in order to evaluate the efficiency of mixed signal NoC NCA: our design w/ MBC arrays and mixed signal NoC 32 16

17 Comparison w/ Other Designs NCA Improvement MLP AAM Speedup Energy Saving D NPU is limited by the computational bandwidth. MBCs+D Net is limited by the costly AD/DA conversions. 33 Outline Why Neuromorphic Computing? Challenges and New Opportunity Spiking Neuromorphic Design A Framework of Heterogeneous Computing Systems Conclusion 36 17

18 Conclusion & Perspective Invention of new devices inspires the study of the next generation neuromorphic computing systems. A spiking neuromorphic computing system by leveraging the memristor crossbar array is demonstrated. We propose a heterogeneous system that combines the flexibility of conventional architecture and the efficiency of neuromorphic architecture. In the future research, we plan to extend the investigation to larger scale ANN applications. The techniques to enhance the run-time robustness in training and testing procedures will be studied. 37 Thank You and Questions? 38 18

Hardware Acceleration for Neuromorphic Computing An Evolving View

Hardware Acceleration for Neuromorphic Computing An Evolving View Hardware Acceleration for Neuromorphic Computing An Evolving View Yiran Chen & Hai (Helen) Li Electrical and Computer Engineering University of Pittsburgh www.ei lab.org 1 Outline Motivations of Brain

More information

Binary Neural Network and Its Implementation with 16 Mb RRAM Macro Chip

Binary Neural Network and Its Implementation with 16 Mb RRAM Macro Chip Binary Neural Network and Its Implementation with 16 Mb RRAM Macro Chip Assistant Professor of Electrical Engineering and Computer Engineering shimengy@asu.edu http://faculty.engineering.asu.edu/shimengyu/

More information

Nano-device and Architecture Interaction in Machine/deep Learning

Nano-device and Architecture Interaction in Machine/deep Learning Nano-device and Architecture Interaction in Machine/deep Learning Assistant Professor of Electrical Engineering and Computer Engineering shimengy@asu.edu http://faculty.engineering.asu.edu/shimengyu/ 12/13/2017

More information

A Heterogeneous Computing System with Memristor- Based Neuromorphic Accelerators

A Heterogeneous Computing System with Memristor- Based Neuromorphic Accelerators A Heterogeneous Computing System with Memristor- Based Neuromorphic Accelerators Xiaoxiao Liu, Mengjie Mao, Hai Li, Yiran Chen Elec. and Comp. Engr. University of Pittsburgh Pittsburgh, PA, USA {xil116,

More information

A Synchronized Axon Hillock Neuron for Memristive Neuromorphic Systems

A Synchronized Axon Hillock Neuron for Memristive Neuromorphic Systems A Synchronized Axon Hillock Neuron for Memristive Neuromorphic Systems Ryan Weiss, Gangotree Chakma, and Garrett S. Rose IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), Boston, Massachusetts,

More information

Energy Efficient and High Performance Current-Mode Neural Network Circuit using Memristors and Digitally Assisted Analog CMOS Neurons

Energy Efficient and High Performance Current-Mode Neural Network Circuit using Memristors and Digitally Assisted Analog CMOS Neurons Energy Efficient and High Performance Current-Mode Neural Network Circuit using Memristors and Digitally Assisted Analog CMOS Neurons Aranya Goswamy 1, Sagar Kumashi 1, Vikash Sehwag 1, Siddharth Kumar

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

Mixed-Signal-Electronics

Mixed-Signal-Electronics 1 Mixed-Signal-Electronics PD Dr.-Ing. Stephan Henzler 2 Chapter 6 Nyquist Rate Analog-to-Digital Converters 3 Pipelined ADC 2 4 High-Speed ADC: Pipeline Processing Stephan Henzler Advanced Integrated

More information

Compact Oscillation Neuron Exploiting Metal-Insulator- Transition for Neuromorphic Computing

Compact Oscillation Neuron Exploiting Metal-Insulator- Transition for Neuromorphic Computing Compact Oscillation Neuron Exploiting Metal-Insulator- Transition for Neuromorphic Computing Pai-Yu Chen, Jae-sun Seo, Yu Cao, and Shimeng Yu * Arizona State University, Tempe, AZ 85281, USA * Email: shimengy@asu.edu

More information

Application Note Model 765 Pulse Generator for Semiconductor Applications

Application Note Model 765 Pulse Generator for Semiconductor Applications Application Note Model 765 Pulse Generator for Semiconductor Applications Non-Volatile Memory Cells Characterization The trend of memory research is to develop a new memory called Non-Volatile RAM that

More information

Chalcogenide Memory, Logic and Processing Devices. Prof C David Wright Department of Engineering University of Exeter

Chalcogenide Memory, Logic and Processing Devices. Prof C David Wright Department of Engineering University of Exeter Chalcogenide Memory, Logic and Processing Devices Prof C David Wright Department of Engineering University of Exeter (david.wright@exeter.ac.uk) Acknowledgements University of Exeter Yat-Yin Au, Jorge

More information

RECENTLY, heterogeneous architecture has become a

RECENTLY, heterogeneous architecture has become a IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 63, NO. 5, MAY 2016 617 Harmonica: A Framework of Heterogeneous Computing Systems With Memristor-Based Neuromorphic Computing Accelerators

More information

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity C Analog Integrated Circuits and Signal Processing, 27, 275 279, 2001 2001 Kluwer Academic Publishers. Manufactured in The Netherlands. Applying Analog Techniques in Digital CMOS Buffers to Improve Speed

More information

A Parallel Analog CCD/CMOS Signal Processor

A Parallel Analog CCD/CMOS Signal Processor A Parallel Analog CCD/CMOS Signal Processor Charles F. Neugebauer Amnon Yariv Department of Applied Physics California Institute of Technology Pasadena, CA 91125 Abstract A CCO based signal processing

More information

Proposers Day Workshop

Proposers Day Workshop Proposers Day Workshop Monday, January 23, 2017 @srcjump, #JUMPpdw Cognitive Computing Vertical Research Center Mandy Pant Academic Research Director Intel Corporation Center Motivation Today s deep learning

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 12, DECEMBER

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 12, DECEMBER IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 12, DECEMBER 2015 1905 RRAM-Based Analog Approximate Computing Boxun Li, Student Member, IEEE, PengGu,Student

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

A 130nm CMOS Evaluation Digitizer Chip for Silicon Strips readout at the ILC

A 130nm CMOS Evaluation Digitizer Chip for Silicon Strips readout at the ILC A 130nm CMOS Evaluation Digitizer Chip for Silicon Strips readout at the ILC Jean-Francois Genat Thanh Hung Pham on behalf of W. Da Silva 1, J. David 1, M. Dhellot 1, D. Fougeron 2, R. Hermel 2, J-F. Huppert

More information

Low-Power Communications and Neural Spike Sorting

Low-Power Communications and Neural Spike Sorting CASPER Workshop 2010 Low-Power Communications and Neural Spike Sorting CASPER Tools in Front-to-Back DSP ASIC Development Henry Chen henryic@ee.ucla.edu August, 2010 Introduction Parallel Data Architectures

More information

CHAPTER 4 MIXED-SIGNAL DESIGN OF NEUROHARDWARE

CHAPTER 4 MIXED-SIGNAL DESIGN OF NEUROHARDWARE 69 CHAPTER 4 MIXED-SIGNAL DESIGN OF NEUROHARDWARE 4. SIGNIFICANCE OF MIXED-SIGNAL DESIGN Digital realization of Neurohardwares is discussed in Chapter 3, which dealt with cancer cell diagnosis system and

More information

Multiscale Co-Design Analysis of Energy, Latency, Area, and Accuracy of a ReRAM Analog Neural Training Accelerator

Multiscale Co-Design Analysis of Energy, Latency, Area, and Accuracy of a ReRAM Analog Neural Training Accelerator > REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 1 Multiscale Co-Design Analysis of Energy, Latency, Area, and Accuracy of a ReRAM Analog Neural Training Accelerator

More information

Supplementary Figures

Supplementary Figures Supplementary Figures Supplementary Figure 1. The schematic of the perceptron. Here m is the index of a pixel of an input pattern and can be defined from 1 to 320, j represents the number of the output

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

A Mixed Mode Self-Programming Neural System-on-Chip for Real-Time Applications

A Mixed Mode Self-Programming Neural System-on-Chip for Real-Time Applications A Mixed Mode Self-Programming Neural System-on-Chip for Real-Time Applications Khurram Waheed and Fathi M. Salam Department of Electrical and Computer Engineering Michigan State University East Lansing,

More information

John Lazzaro and John Wawrzynek Computer Science Division UC Berkeley Berkeley, CA, 94720

John Lazzaro and John Wawrzynek Computer Science Division UC Berkeley Berkeley, CA, 94720 LOW-POWER SILICON NEURONS, AXONS, AND SYNAPSES John Lazzaro and John Wawrzynek Computer Science Division UC Berkeley Berkeley, CA, 94720 Power consumption is the dominant design issue for battery-powered

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

Analog Axon Hillock Neuron Design for Memristive Neuromorphic Systems

Analog Axon Hillock Neuron Design for Memristive Neuromorphic Systems University of Tennessee, Knoxville Trace: Tennessee Research and Creative Exchange Masters Theses Graduate School 12-2017 Analog Axon Hillock Neuron Design for Memristive Neuromorphic Systems Ryan John

More information

Analytical Chemistry II

Analytical Chemistry II Analytical Chemistry II L3: Signal processing (selected slides) Semiconductor devices Apart from resistors and capacitors, electronic circuits often contain nonlinear devices: transistors and diodes. The

More information

INTEGRATED CIRCUITS. AN109 Microprocessor-compatible DACs Dec

INTEGRATED CIRCUITS. AN109 Microprocessor-compatible DACs Dec INTEGRATED CIRCUITS 1988 Dec DAC products are designed to convert a digital code to an analog signal. Since a common source of digital signals is the data bus of a microprocessor, DAC circuits that are

More information

All-digital ramp waveform generator for two-step single-slope ADC

All-digital ramp waveform generator for two-step single-slope ADC All-digital ramp waveform generator for two-step single-slope ADC Tetsuya Iizuka a) and Kunihiro Asada VLSI Design and Education Center (VDEC), University of Tokyo 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-0032,

More information

+2.7V to +5.5V, Low-Power, Triple, Parallel 8-Bit DAC with Rail-to-Rail Voltage Outputs

+2.7V to +5.5V, Low-Power, Triple, Parallel 8-Bit DAC with Rail-to-Rail Voltage Outputs 19-1560; Rev 1; 7/05 +2.7V to +5.5V, Low-Power, Triple, Parallel General Description The parallel-input, voltage-output, triple 8-bit digital-to-analog converter (DAC) operates from a single +2.7V to +5.5V

More information

The simplest DAC can be constructed using a number of resistors with binary weighted values. X[3:0] is the 4-bit digital value to be converter to an

The simplest DAC can be constructed using a number of resistors with binary weighted values. X[3:0] is the 4-bit digital value to be converter to an 1 Although digital technology dominates modern electronic systems, the physical world remains mostly analogue in nature. The most important components that link the analogue world to digital systems are

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng.

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng. MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng., UCLA - http://nanocad.ee.ucla.edu/ 1 Outline Introduction

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

Lecture 7: Components of Phase Locked Loop (PLL)

Lecture 7: Components of Phase Locked Loop (PLL) Lecture 7: Components of Phase Locked Loop (PLL) CSCE 6933/5933 Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors pages,

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

A radiation tolerant, low-power cryogenic capable CCD readout system:

A radiation tolerant, low-power cryogenic capable CCD readout system: A radiation tolerant, low-power cryogenic capable CCD readout system: Enabling focal-plane mounted CCD read-out for ground or space applications with a pair of ASICs. Overview What do we want to read out

More information

An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction

An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction Won Ho Choi*, Yang Lv*, Hoonki Kim, Jian-Ping Wang, and Chris H. Kim *equal contribution

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Option 1: A programmable Digital (FIR) Filter

Option 1: A programmable Digital (FIR) Filter Design Project Your design project is basically a module filter. A filter is basically a weighted sum of signals. The signals (input) may be related, e.g. a delayed versions of each other in time, e.g.

More information

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016 Analog I/O ECE 153B Sensor & Peripheral Interface Design Introduction Anytime we need to monitor or control analog signals with a digital system, we require analogto-digital (ADC) and digital-to-analog

More information

High-Speed Analog to Digital Converters. ELCT 1003:High Speed ADCs

High-Speed Analog to Digital Converters. ELCT 1003:High Speed ADCs High-Speed Analog to Digital Converters Ann Kotkat Barbara Georgy Mahmoud Tantawi Ayman Sakr Heidi El-Feky Nourane Gamal 1 Outline Introduction. Process of ADC. ADC Specifications. Flash ADC. Pipelined

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

8-Bit A/D Converter AD673 REV. A FUNCTIONAL BLOCK DIAGRAM

8-Bit A/D Converter AD673 REV. A FUNCTIONAL BLOCK DIAGRAM a FEATURES Complete 8-Bit A/D Converter with Reference, Clock and Comparator 30 s Maximum Conversion Time Full 8- or 16-Bit Microprocessor Bus Interface Unipolar and Bipolar Inputs No Missing Codes Over

More information

/14/$ IEEE 63

/14/$ IEEE 63 Reduction and IR-drop Compensations Techniques for Reliable Neuromorphic Computing Systems Beiye Liu 1, Hai Li 6 Yiran Chen 7 Xin Li 2 Tingwen Huang 3 Qing Wu 4, Mark Barnell 5 Department of Electrical

More information

Energy Efficient Circuit Design and the Future of Power Delivery

Energy Efficient Circuit Design and the Future of Power Delivery Energy Efficient Circuit Design and the Future of Power Delivery Greg Taylor EPEPS 2009 Outline Looking back Energy efficiency in CMOS Side effects Suggestions Conclusion 2 Looking Back Microprocessor

More information

ECE 6770 FINAL PROJECT

ECE 6770 FINAL PROJECT ECE 6770 FINAL PROJECT POINT TO POINT COMMUNICATION SYSTEM Submitted By: Omkar Iyer (Omkar_iyer82@yahoo.com) Vamsi K. Mudarapu (m_vamsi_krishna@yahoo.com) MOTIVATION Often in the real world we have situations

More information

+2.7V to +5.5V, Low-Power, Dual, Parallel 8-Bit DAC with Rail-to-Rail Voltage Outputs

+2.7V to +5.5V, Low-Power, Dual, Parallel 8-Bit DAC with Rail-to-Rail Voltage Outputs 9-565; Rev ; /99 +.7 to +5.5, Low-Power, Dual, Parallel General Description The MAX5 parallel-input, voltage-output, dual 8-bit digital-to-analog converter (DAC) operates from a single +.7 to +5.5 supply

More information

Front-End and Readout Electronics for Silicon Trackers at the ILC

Front-End and Readout Electronics for Silicon Trackers at the ILC 2005 International Linear Collider Workshop - Stanford, U.S.A. Front-End and Readout Electronics for Silicon Trackers at the ILC M. Dhellot, J-F. Genat, H. Lebbolo, T-H. Pham, and A. Savoy Navarro LPNHE

More information

Neuromorphic Analog VLSI

Neuromorphic Analog VLSI Neuromorphic Analog VLSI David W. Graham West Virginia University Lane Department of Computer Science and Electrical Engineering 1 Neuromorphic Analog VLSI Each word has meaning Neuromorphic Analog VLSI

More information

A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP

A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP Noushin Ghaderi 1, Khayrollah Hadidi 2 and Bahar Barani 3 1 Faculty of Engineering, Shahrekord University, Shahrekord, Iran

More information

SWITCHED CAPACITOR BASED IMPLEMENTATION OF INTEGRATE AND FIRE NEURAL NETWORKS

SWITCHED CAPACITOR BASED IMPLEMENTATION OF INTEGRATE AND FIRE NEURAL NETWORKS Journal of ELECTRICAL ENGINEERING, VOL. 54, NO. 7-8, 23, 28 212 SWITCHED CAPACITOR BASED IMPLEMENTATION OF INTEGRATE AND FIRE NEURAL NETWORKS Daniel Hajtáš Daniela Ďuračková This paper is dealing with

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

EE 3305 Lab I Revised July 18, 2003

EE 3305 Lab I Revised July 18, 2003 Operational Amplifiers Operational amplifiers are high-gain amplifiers with a similar general description typified by the most famous example, the LM741. The LM741 is used for many amplifier varieties

More information

SMARTPHONE SENSOR BASED GESTURE RECOGNITION LIBRARY

SMARTPHONE SENSOR BASED GESTURE RECOGNITION LIBRARY SMARTPHONE SENSOR BASED GESTURE RECOGNITION LIBRARY Sidhesh Badrinarayan 1, Saurabh Abhale 2 1,2 Department of Information Technology, Pune Institute of Computer Technology, Pune, India ABSTRACT: Gestures

More information

Differential Amplifier : input. resistance. Differential amplifiers are widely used in engineering instrumentation

Differential Amplifier : input. resistance. Differential amplifiers are widely used in engineering instrumentation Differential Amplifier : input resistance Differential amplifiers are widely used in engineering instrumentation Differential Amplifier : input resistance v 2 v 1 ir 1 ir 1 2iR 1 R in v 2 i v 1 2R 1 Differential

More information

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits In this lab, we will be looking at ac signals with MOSFET circuits and digital electronics. The experiments will be performed

More information

DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY

DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY Silpa Kesav 1, K.S.Nayanathara 2 and B.K. Madhavi 3 1,2 (ECE, CVR College of Engineering, Hyderabad, India) 3 (ECE, Sridevi Women s Engineering

More information

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Safeen Huda and Jason Anderson International Symposium on Physical Design Santa Rosa, CA, April 6, 2016 1 Motivation FPGA power increasingly

More information

Operational Amplifiers

Operational Amplifiers CHAPTER 9 Operational Amplifiers Analog IC Analysis and Design 9- Chih-Cheng Hsieh Outline. General Consideration. One-Stage Op Amps / Two-Stage Op Amps 3. Gain Boosting 4. Common-Mode Feedback 5. Input

More information

Lecture 10: Accelerometers (Part I)

Lecture 10: Accelerometers (Part I) Lecture 0: Accelerometers (Part I) ADXL 50 (Formerly the original ADXL 50) ENE 5400, Spring 2004 Outline Performance analysis Capacitive sensing Circuit architectures Circuit techniques for non-ideality

More information

ANITA ROSS Trigger/Digitizer/DAQ. Gary S. Varner University of Hawai, i, Manoa ANITA Collaboration JPL March 2004

ANITA ROSS Trigger/Digitizer/DAQ. Gary S. Varner University of Hawai, i, Manoa ANITA Collaboration JPL March 2004 ANITA ROSS Trigger/Digitizer/DAQ Gary S. Varner University of Hawai, i, Manoa ANITA Collaboration Meeting @ JPL March 2004 Overview System overview Reiterate, with ROSS simplifications ROSS trigger descope

More information

Challenges of in-circuit functional timing testing of System-on-a-Chip

Challenges of in-circuit functional timing testing of System-on-a-Chip Challenges of in-circuit functional timing testing of System-on-a-Chip David and Gregory Chudnovsky Institute for Mathematics and Advanced Supercomputing Polytechnic Institute of NYU Deep sub-micron devices

More information

Optimizing Brainstorm s Architecture

Optimizing Brainstorm s Architecture 28 June 2016 - ONR Annual Program Review - Stanford CA Optimizing Brainstorm s Architecture Kwabena Boahen Bioengineering and Electrical Engineering (by courtesy) Stanford University Eliasmith, 2013 Outline

More information

Integrate-and-Fire Neuron Circuit and Synaptic Device using Floating Body MOSFET with Spike Timing- Dependent Plasticity

Integrate-and-Fire Neuron Circuit and Synaptic Device using Floating Body MOSFET with Spike Timing- Dependent Plasticity JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.6, DECEMBER, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.6.658 ISSN(Online) 2233-4866 Integrate-and-Fire Neuron Circuit

More information

Low-output-impedance BiCMOS voltage buffer

Low-output-impedance BiCMOS voltage buffer Low-output-impedance BiCMOS voltage buffer Johan Bauwelinck, a) Wei Chen, Dieter Verhulst, Yves Martens, Peter Ossieur, Xing-Zhi Qiu, and Jan Vandewege Ghent University, INTEC/IMEC, Gent, 9000, Belgium

More information

10-Bit, Low-Power, Rail-to-Rail Voltage-Output Serial DAC in SOT23

10-Bit, Low-Power, Rail-to-Rail Voltage-Output Serial DAC in SOT23 19-195; Rev 1; 1/4 1-Bit, Low-Power, Rail-to-Rail General Description The is a small footprint, low-power, 1-bit digital-to-analog converter (DAC) that operates from a single +.7V to +5.5V supply. The

More information

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Nestoras Tzartzanis and Bill Athas nestoras@isiedu, athas@isiedu http://wwwisiedu/acmos Information Sciences Institute

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

In Search of the Elusive All-Optical Packet Buffer

In Search of the Elusive All-Optical Packet Buffer In Search of the Elusive All-Optical Packet Buffer Rod Tucker Centre for Ultra-Broadband Information Networks (CUBIN) Department for Electrical and Electronic Engineering University of Melbourne, Australia

More information

8-Bit, high-speed, µp-compatible A/D converter with track/hold function ADC0820

8-Bit, high-speed, µp-compatible A/D converter with track/hold function ADC0820 8-Bit, high-speed, µp-compatible A/D converter with DESCRIPTION By using a half-flash conversion technique, the 8-bit CMOS A/D offers a 1.5µs conversion time while dissipating a maximum 75mW of power.

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

Advantages of Analog Representation. Varies continuously, like the property being measured. Represents continuous values. See Figure 12.

Advantages of Analog Representation. Varies continuously, like the property being measured. Represents continuous values. See Figure 12. Analog Signals Signals that vary continuously throughout a defined range. Representative of many physical quantities, such as temperature and velocity. Usually a voltage or current level. Digital Signals

More information

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon HKUST January 3, 2007 Merging Propagation Physics, Theory and Hardware in Wireless Ada Poon University of Illinois at Urbana-Champaign Outline Multiple-antenna (MIMO) channels Human body wireless channels

More information

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Introduction: The CEBAF upgrade Low Level Radio Frequency (LLRF) control

More information

Index terms: Analog to Digital conversion, capacitor sharing, high speed OPAMP-sharing pipelined analog to digital convertor, Low power.

Index terms: Analog to Digital conversion, capacitor sharing, high speed OPAMP-sharing pipelined analog to digital convertor, Low power. Pipeline ADC using Switched Capacitor Sharing Technique with 2.5 V, 10-bit Ankit Jain Dept. of Electronics and Communication, Indore Institute of Science & Technology, Indore, India Abstract: This paper

More information

Evaluating the NanoXplore 65nm RadHard FPGA for CERN applications. Georgios Tsiligiannis

Evaluating the NanoXplore 65nm RadHard FPGA for CERN applications. Georgios Tsiligiannis Evaluating the NanoXplore 65nm RadHard FPGA for CERN applications Georgios Tsiligiannis Outline FPGA under study Irradiation Test Setup Experimental Results Future steps Conclusions 2 FPGA under study

More information

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem A report Submitted to Canopus Systems Inc. Zuhail Sainudeen and Navid Yazdi Arizona State University July 2001 1. Overview

More information

Basic Operational Amplifier Circuits

Basic Operational Amplifier Circuits Basic Operational Amplifier Circuits Comparators A comparator is a specialized nonlinear op-amp circuit that compares two input voltages and produces an output state that indicates which one is greater.

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

University of Pittsburgh

University of Pittsburgh University of Pittsburgh Experiment #7 Lab Report Analog-Digital Applications Submission Date: 08/01/2018 Instructors: Dr. Ahmed Dallal Shangqian Gao Submitted By: Nick Haver & Alex Williams Station #2

More information

EECS 140/240A Final Project spec, version 1 Spring 17. FINAL DESIGN due Monday, 5/1/2017 9am

EECS 140/240A Final Project spec, version 1 Spring 17. FINAL DESIGN due Monday, 5/1/2017 9am EECS 140/240A Final Project spec, version 1 Spring 17 FINAL DESIGN due Monday, 5/1/2017 9am 1 1.2 no layout? XC? Golden Bear Circuits is working on its next exciting circuit product. This is a mixedsignal

More information

Fundamentals of Data Converters. DAVID KRESS Director of Technical Marketing

Fundamentals of Data Converters. DAVID KRESS Director of Technical Marketing Fundamentals of Data Converters DAVID KRESS Director of Technical Marketing 9/14/2016 Analog to Electronic Signal Processing Sensor (INPUT) Amp Converter Digital Processor Actuator (OUTPUT) Amp Converter

More information

MICROWIND2 DSCH2 8. Converters /11/00

MICROWIND2 DSCH2 8. Converters /11/00 8-9 05/11/00 Fig. 8-7. Effect of sampling The effect of sample and hold is illustrated in figure 8-7. When sampling, the transmission gate is turned on so that the sampled data DataOut reaches the value

More information

Neurocomputing and Associative Memories Based on Ovenized Aluminum Nitride Resonators

Neurocomputing and Associative Memories Based on Ovenized Aluminum Nitride Resonators Proceedings of International Joint Conference on Neural Networks, Dallas, Texas, USA, August 4-9, 013 Neurocomputing and Associative Memories Based on Ovenized Aluminum Nitride Resonators Vehbi Calayir,

More information

Computational Intelligence Introduction

Computational Intelligence Introduction Computational Intelligence Introduction Farzaneh Abdollahi Department of Electrical Engineering Amirkabir University of Technology Fall 2011 Farzaneh Abdollahi Neural Networks 1/21 Fuzzy Systems What are

More information

2002 IEEE International Solid-State Circuits Conference 2002 IEEE

2002 IEEE International Solid-State Circuits Conference 2002 IEEE Outline 802.11a Overview Medium Access Control Design Baseband Transmitter Design Baseband Receiver Design Chip Details What is 802.11a? IEEE standard approved in September, 1999 12 20MHz channels at 5.15-5.35

More information

New type ADC using PWM intermediary conversion

New type ADC using PWM intermediary conversion ew type ADC using PW intermediary conversion Cristian Zet 1, Cătălin Damian 1, Cristian Foşalău 1 1 Technical University G. Asachi, Bd. D. angeron, 53, 700050, Iasi, ROAIA, phone:+40 232 278683, fa: +40

More information

Fundamentals of CMOS Image Sensors

Fundamentals of CMOS Image Sensors CHAPTER 2 Fundamentals of CMOS Image Sensors Mixed-Signal IC Design for Image Sensor 2-1 Outline Photoelectric Effect Photodetectors CMOS Image Sensor(CIS) Array Architecture CIS Peripherals Design Considerations

More information

New Current-Sense Amplifiers Aid Measurement and Control

New Current-Sense Amplifiers Aid Measurement and Control AMPLIFIER AND COMPARATOR CIRCUITS BATTERY MANAGEMENT CIRCUIT PROTECTION Mar 13, 2000 New Current-Sense Amplifiers Aid Measurement and Control This application note details the use of high-side current

More information

Power Management in modern-day SoC

Power Management in modern-day SoC Power Management in modern-day SoC C.P. Ravikumar Texas Instruments, India C.P. Ravikumar, IIT Madras 1 Agenda o Motivation o Power Management in the Signal Chain o Low-Power Design Flow Technological

More information

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core reset 16-bit signed input data samples Automatic carrier acquisition with no complex setup required User specified design

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

ASIC-based Artificial Neural Networks for Size, Weight, and Power Constrained Applications

ASIC-based Artificial Neural Networks for Size, Weight, and Power Constrained Applications ASIC-based Artificial Neural Networks for Size, Weight, and Power Constrained Applications Clare Thiem Senior Electronics Engineer Information Directorate Air Force Research Laboratory Agenda Nano-Enabled

More information