Power Management in modern-day SoC

Size: px
Start display at page:

Download "Power Management in modern-day SoC"

Transcription

1 Power Management in modern-day SoC C.P. Ravikumar Texas Instruments, India C.P. Ravikumar, IIT Madras 1 Agenda o Motivation o Power Management in the Signal Chain o Low-Power Design Flow Technological considerations Architectural Considerations Algorithmic Considerations Communication Standard Cell Design and Memories Logic Synthesis Verification Test C.P. Ravikumar, IIT Madras 2 1

2 Energy Efficiency o Battery life, heat dissipation, reliability Mobile applications Sensor networks o Green Electronics o Eco-friendly design C.P. Ravikumar, IIT Madras 3 mw/mmacs Gene Franz s law for Power scaling 1, Power Dissipation Energy scavenging mw/cm 3 Brain Gene's Law: Power dissipation will decrease by half every 18 months Gene s Law DSP Power Mobile Phone Year C.P. Ravikumar, IIT Madras 4 2

3 Energy Harvesting/Scavenging o Solar energy o Temperature Difference o Wind energy o Kinetic energy o RF energy from transmissions (cochlear implants) o Wireless charging C.P. Ravikumar, IIT Madras 5 Temperature Difference o Thermoelectric power generation caused by heat flux through a thermoelectric element The heat flux is due to the temperature difference Voltage proportional to temperature difference o Thermogenerator has a large number of thermoelectric elements C.P. Ravikumar, IIT Madras 6 3

4 Example - Micropelt o Micropelt thermogenerators o Hundreds of elements o Voltage in the range of Volt o Sufficient energy to drive a low-power wireless applications Remote sensors, data loggers and small actuators C.P. Ravikumar, IIT Madras 7 Ultra low-power electronics o Cochlear implants Power/Area tradeoff between Digital/Analog implementations What do you implement before and after an ADC? Big-A/Small-D, Big-D/Small-A C.P. Ravikumar, IIT Madras 8 4

5 Power Management in Signal Chain The Real World Amplifier Data Converter Logic Temperature Pressure Position Speed Flow Humidity Sound Power Management Digital Signal Processor Light Amplifier Data Converter Interface C.P. Ravikumar, IIT Madras 9 Minimizing Power o Environment o Economics o Reliability o Green Electronics = ultra low-power products + energy harvesting o Case Study : MSP430 microcontroller C.P. Ravikumar, IIT Madras 10 5

6 Software must be power-aware o Operating system Put the CPU is sleep mode if process queue is empty o Compilation can be power-aware Instruction reordering to reduce switching activity Register renaming Reduce data movement C.P. Ravikumar, IIT Madras 11 Compiler and Power [Lizy Kurian John, UT Austin] A Cycle 1 A E A Cycle 1 B C E Cycle 2 B C B C Cycle 2 E D Cycle 3 D D Cycle 3 F Cycle 4 F F Cycle 4 DDG Peak Power = 3 Energy = 6 Peak Power = 2 Energy = 6 C.P. Ravikumar, IIT Madras 12 6

7 Design Flow Technological considerations o Power and Power Density scaling Gene s law o Alternate sources of power Solar, RF, wind, motion, 1, mw/mmacs Energy scavenging mw/cm 3 Brain C.P. Ravikumar, IIT Madras Year Design Flow Architectural considerations o Multicore o IP selection Performance/Power/Area tradeoffs o Floorplanning o Packaging o Data-path Modules C.P. Ravikumar, IIT Madras 14 7

8 Design Flow Algorithmic considerations o Task allocation to processors o Compiler optimizations o Use of on-chip memory/cache o Low-power modes o Power-aware OS/Software C.P. Ravikumar, IIT Madras 15 Design Flow Communication o Low Power RF communication o Wireless Sensor Networks Power-aware protocols C.P. Ravikumar, IIT Madras 16 8

9 Design Flow Standard Cell Design/Memory Design o Low VDD operation, Voltage Domains o Transistor sizing and Multi Vt design o Body Bias o Sleep transistors o Split word-line C.P. Ravikumar, IIT Madras 17 Design Flow Logic Synthesis C pin = C 1 C pin = C 1 toggle rate =.4 a toggle rate =.8 d b c f b c f d toggle rate =.8 a toggle rate =.4 C pin = 1.5C 1 C pin = 1.5C 1 f1 = b(a + c) + cd f2 = ab + c (b + d) a c b c d f a b c b d f C.P. Ravikumar, IIT Madras 18 b is a high-activity net 9

10 Design Flow Power Verification o Will assumptions fail when we integrate IP? Cores may use different power management techniques Multiple Voltage Islands need level shifters C.P. Ravikumar, IIT Madras 19 Design Flow Test for Low Power Design o Scan shift power o Scan capture power o Leakage Power C.P. Ravikumar, IIT Madras 20 10

11 Range of Voltage-Control Techniques 1.2V OFF 1.2V RET 1.2V 1.0V 0.9V 1.0V 0.9V 1.0V 0.9V Multi-Vdd (MV) MTCMOS power gating (shut down) Power gating with State Retention PWR PWR CTRL V VDDB A Z 0.6V 1.0V 0.9V VSSB 1.2V Dynamic or Adaptive Voltage Frequency Scaling (DVS, DVFS, AVS, AVFS) Variable V TH (Back Bias P/N) 1.0V 0.9V Low-VDD Standby C.P. Ravikumar, IIT Madras 21 V1 Power Management increases verification complexity enormously CPU in CPU in Normal HP Standby Mode Mode Display Display in in Display in Normal OFF Mode Standby HP Mode Mode with Power Switches Tx/Rx Tx/Rx in in Normal Standby Mode V2 Level Shifters Isolation Cells V3 Audio in Audio OFF Mode in Normal with Mode Power Switches PMU Video in Video OFF in Mode Normal with Mode Power Switches Correct Verification Multiple implementation power must now states, understand of transitions LP specific voltage and design Phone Call sequences elements PDA values must be happen verified Standby C.P. Ravikumar, IIT Madras 22 11

12 Verification needed to ensure things don t go wrong Isolation/Level Shifting Bugs Control Sequencing bugs Retention scheme/control errors Retention selection errors Electrical Problems like memory corruption Power Sequencing/Voltage Scheduling errors Hardware-Software deadlock Power Gating collapse/dysfunction Power On Reset/bring up problems Thermal runaway/ Overheating These are not traditional functional bugs! C.P. Ravikumar, IIT Madras 23 Design Flow Thermal considerations o Silicon is not a good conductor of heat High power density leads to higher temperature Higher temperature leads to higher leakage o Positive feedback loop can lead to thermal runaway o Example repeated access to registers in a register file can cause a hot spot C.P. Ravikumar, IIT Madras 24 12

13 Solar Energy Harvesting Kit o Efficient solar energy harvesting module for the ez430-rf2500 o Battery-less operation o Works in low ambient light o 400+ transmissions in dark o Adaptable to any RF network or sensor input o Inputs available for external harvesters (thermal, piezo, 2nd solar panel, etc.) o USB debugging and programming interface with application backchannel to PC o 18 available analog and communications input/output pins o Highly integrated, ultra-low-power MSP430 MCU with 16-MHz performance o Two green and red LEDs for visual feedback o Interruptible push button for user feedback C.P. Ravikumar, IIT Madras 25 Summary o Software must be power-aware Operating system Application software o Hardware must support power management Low-power modes o Active Power minimization voltage scaling o Standby Power minimization back-bias, multi-vt, power gating, o Power must be factored into all aspects of design flow C.P. Ravikumar, IIT Madras 26 13

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

Self-powered RadioTechnology for Building Automation Systems

Self-powered RadioTechnology for Building Automation Systems Self-powered RadioTechnology for Building Automation Systems Thomas Köthke EnOcean GmbH HMI 2011 07 April, 2011, Hannover EnOcean Technology History 1995-2001: Energy harvesting research projects at Siemens

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

Using the VM1010 Wake-on-Sound Microphone and ZeroPower Listening TM Technology

Using the VM1010 Wake-on-Sound Microphone and ZeroPower Listening TM Technology Using the VM1010 Wake-on-Sound Microphone and ZeroPower Listening TM Technology Rev1.0 Author: Tung Shen Chew Contents 1 Introduction... 4 1.1 Always-on voice-control is (almost) everywhere... 4 1.2 Introducing

More information

STANDARD CELL LIBRARIES FOR ALWAYS-ON POWER DOMAIN

STANDARD CELL LIBRARIES FOR ALWAYS-ON POWER DOMAIN STANDARD CELL LIBRARIES FOR ALWAYS-ON POWER DOMAIN Introduction Standard-cell library offering is usually divided in three categories: 6/7-track library for cost driven requirements, 8/9-track library

More information

Self Powered Radio Systems in Practice: Concepts, Products & Prospects

Self Powered Radio Systems in Practice: Concepts, Products & Prospects Forum Innovations for Industry Session: Energy Harvesting and Wireless Sensor Networks Hannover Messe 2010 Self Powered Radio Systems in Practice: Concepts, Products & Prospects Frank Schmidt, Founder

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Node energy consumption The batteries are limited and usually they can t support long term tasks

More information

Lecture 14 Interface Electronics (Part 2) ECE 5900/6900 Fundamentals of Sensor Design

Lecture 14 Interface Electronics (Part 2) ECE 5900/6900 Fundamentals of Sensor Design EE 4900: Fundamentals of Sensor Design 1 Lecture 14 Interface Electronics (Part 2) Interface Electronics (Part 2) 2 Linearizing Bridge Circuits (Sensor Tech Hand book) Precision Op amps, Auto Zero Op amps,

More information

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus Course Content Low Power VLSI System Design Lecture 1: Introduction Prof. R. Iris Bahar E September 6, 2017 Course focus low power and thermal-aware design digital design, from devices to architecture

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Integrated Radio Systems for Energy Harvesting

Integrated Radio Systems for Energy Harvesting Integrated Radio Systems for Energy Harvesting by Robert Saurug Donnerstag, 22. April 2010 Outline Short introduction of SensorDynamics Why developing a radio IC for energy harvesting? Design Challenges

More information

A Low Voltage Bandgap Reference Circuit With Current Feedback

A Low Voltage Bandgap Reference Circuit With Current Feedback A Low Voltage Bandgap Reference Circuit With Current Feedback Keywords: Bandgap reference, current feedback, FinFET, startup circuit, VDD variation as a low voltage source or uses the differences between

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

Eta Compute Self-timed ARM M3 Microcontroller for Energy Harvested Applications

Eta Compute Self-timed ARM M3 Microcontroller for Energy Harvested Applications Eta Compute Self-timed ARM M3 Microcontroller for Energy Harvested Applications Agenda Motivation A New Paradigm Dial Technology Chip Architecture Measured Results Sensor Reference Design 2 Deploying Billions

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

2.0 Discussion: 2.1 Approach:

2.0 Discussion: 2.1 Approach: 2.0 Discussion: 2.1 Approach: The design for a Power Monitor and Data Logging System is comprised of two major components: the Power Meter and the Data Logger. The Power Meter is the package that plugs

More information

Electric Bike BLDC Hub Motor Control Using the Z8FMC1600 MCU

Electric Bike BLDC Hub Motor Control Using the Z8FMC1600 MCU Application Note Electric Bike BLDC Hub Motor Control Using the Z8FMC1600 MCU AN026002-0608 Abstract This application note describes a controller for a 200 W, 24 V Brushless DC (BLDC) motor used to power

More information

The Mote Revolution: Low Power Wireless Sensor Network Devices

The Mote Revolution: Low Power Wireless Sensor Network Devices The Mote Revolution: Low Power Wireless Sensor Network Devices University of California, Berkeley Joseph Polastre Robert Szewczyk Cory Sharp David Culler The Mote Revolution: Low Power Wireless Sensor

More information

Data Logger Subsystems Mark Buccini February 2012

Data Logger Subsystems Mark Buccini February 2012 Data Logger Subsystems Mark Buccini February 2012 Full Disclosure Mark E. Buccini ULP Staff at TI 25+ years strategy, applications, marketing, sales, and management experience Lead MSP430 worldwide introduction

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Small, Dynamic Voltage Management Solution Based on TPS62300 High-Frequency Buck Converter and DAC6571

Small, Dynamic Voltage Management Solution Based on TPS62300 High-Frequency Buck Converter and DAC6571 Application Report SLVA196 October 2004 Small, Dynamic Voltage Management Solution Based on Christophe Vaucourt and Markus Matzberger PMP Portable Power ABSTRACT As cellular phones and other portable electronics

More information

Hardware Platforms and Sensors

Hardware Platforms and Sensors Hardware Platforms and Sensors Tom Spink Including material adapted from Bjoern Franke and Michael O Boyle Hardware Platform A hardware platform describes the physical components that go to make up a particular

More information

Advanced Techniques for Using ARM's Power Management Kit

Advanced Techniques for Using ARM's Power Management Kit ARM Connected Community Technical Symposium Advanced Techniques for Using ARM's Power Management Kit Libo Chang( 常骊波 ) ARM China 2006 年 12 月 4/6/8 日, 上海 / 北京 / 深圳 Power is Out of Control! Up to 90nm redu

More information

Wireless Sensor Networks (aka, Active RFID)

Wireless Sensor Networks (aka, Active RFID) Politecnico di Milano Advanced Network Technologies Laboratory Wireless Sensor Networks (aka, Active RFID) Hardware and Hardware Abstractions Design Challenges/Guidelines/Opportunities 1 Let s start From

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

RF4463F30 High Power wireless transceiver module

RF4463F30 High Power wireless transceiver module RF4463F30 High Power wireless transceiver module 1. Description RF4463F30 adopts Silicon Lab Si4463 RF chip, which is a highly integrated wireless ISM band transceiver chip. Extremely high receive sensitivity

More information

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8 EE241 - Spring 21 Advanced Digital Integrated Circuits Lecture 18: Dynamic Voltage Scaling Announcements Midterm feedback mailed back Homework #3 posted over the break due April 8 Reading: Chapter 5, 6,

More information

Spectrum Detector for Cognitive Radios. Andrew Tolboe

Spectrum Detector for Cognitive Radios. Andrew Tolboe Spectrum Detector for Cognitive Radios Andrew Tolboe Motivation Currently in the United States the entire radio spectrum has already been reserved for various applications by the FCC. Therefore, if someone

More information

FAN5602 Universal (Step-Up/Step-Down) Charge Pump Regulated DC/DC Converter

FAN5602 Universal (Step-Up/Step-Down) Charge Pump Regulated DC/DC Converter August 2009 FAN5602 Universal (Step-Up/Step-Down) Charge Pump Regulated DC/DC Converter Features Low-Noise, Constant-Frequency Operation at Heavy Load High-Efficiency, Pulse-Skip (PFM) Operation at Light

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering. (An ISO 3297: 2007 Certified Organization)

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering. (An ISO 3297: 2007 Certified Organization) International Journal of Advanced Research in Electrical, Electronics Device Control Using Intelligent Switch Sreenivas Rao MV *, Basavanna M Associate Professor, Department of Instrumentation Technology,

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone

26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone 26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone William W. Si, Srenik Mehta, Hirad Samavati, Manolis Terrovitis, Michael Mack, KeithOnodera, SteveJen, Susan Luschas, Justin Hwang, SuniMendis, DavidSu, BruceWooley

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

The Mote Revolution: Low Power Wireless Sensor Network Devices

The Mote Revolution: Low Power Wireless Sensor Network Devices The Mote Revolution: Low Power Wireless Sensor Network Devices University of California, Berkeley Joseph Polastre Robert Szewczyk Cory Sharp David Culler The Mote Revolution: Low Power Wireless Sensor

More information

Jianfeng Liu, Jaehan Jeon, Kyungtae Do, JungYun Choi. Design Technology Team System LSI Division Samsung Electronics Co., Ltd

Jianfeng Liu, Jaehan Jeon, Kyungtae Do, JungYun Choi. Design Technology Team System LSI Division Samsung Electronics Co., Ltd Jianfeng Liu, Jaehan Jeon, Kyungtae Do, JungYun Choi Design Technology Team System LSI Division Samsung Electronics Co., Ltd Author Name Email Phone Organization Jianfeng Liu jf.liu@samsung.com +82-31-209-4299

More information

1 Digital EE141 Integrated Circuits 2nd Introduction

1 Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits Introduction 1 What is this lecture about? Introduction to digital integrated circuits + low power circuits Issues in digital design The CMOS inverter Combinational logic structures

More information

Low Power Techniques for SoC Design: basic concepts and techniques

Low Power Techniques for SoC Design: basic concepts and techniques Low Power Techniques for SoC Design: basic concepts and techniques Estagiário de Docência M.Sc. Vinícius dos Santos Livramento Prof. Dr. Luiz Cláudio Villar dos Santos Embedded Systems - INE 5439 Federal

More information

Putting It All Together: Computer Architecture and the Digital Camera

Putting It All Together: Computer Architecture and the Digital Camera 461 Putting It All Together: Computer Architecture and the Digital Camera This book covers many topics in circuit analysis and design, so it is only natural to wonder how they all fit together and how

More information

A Systems Approach to Electronic Product Development. Steven Dunbar Analog Field Applications Texas Instruments

A Systems Approach to Electronic Product Development. Steven Dunbar Analog Field Applications Texas Instruments A Systems Approach to Electronic Product Development Steven Dunbar Analog Field Applications Texas Instruments 4899 : Agenda Thank an Engineer! Who is this guy Steve Dunbar, anyway??? Field Applications,

More information

Installer Guide. Read Me First... PA 8 ELITE PA 8 ULTRA ELITE PA 16 ELITE. Multi-Channel Power Amplifiers. If you need help...

Installer Guide. Read Me First... PA 8 ELITE PA 8 ULTRA ELITE PA 16 ELITE. Multi-Channel Power Amplifiers. If you need help... Installer Guide PA 8 ELITE PA 8 ULTRA ELITE PA 6 ELITE Multi-Channel Power Amplifiers Read Me First... If you need help.... Check the online help of the Web Installer Interface.. Check our website support

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL 1 PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL Pradeep Patel Instrumentation and Control Department Prof. Deepali Shah Instrumentation and Control Department L. D. College

More information

RF4432 wireless transceiver module

RF4432 wireless transceiver module 1. Description www.nicerf.com RF4432 RF4432 wireless transceiver module RF4432 adopts Silicon Lab Si4432 RF chip, which is a highly integrated wireless ISM band transceiver. The features of high sensitivity

More information

Low-Power Communications and Neural Spike Sorting

Low-Power Communications and Neural Spike Sorting CASPER Workshop 2010 Low-Power Communications and Neural Spike Sorting CASPER Tools in Front-to-Back DSP ASIC Development Henry Chen henryic@ee.ucla.edu August, 2010 Introduction Parallel Data Architectures

More information

HF Power Amplifier (Reference Design Guide) RFID Systems / ASP

HF Power Amplifier (Reference Design Guide) RFID Systems / ASP 16 September 2008 Rev A HF Power Amplifier (Reference Design Guide) RFID Systems / ASP 1.) Scope Shown herein is a HF power amplifier design with performance plots. As every application is different and

More information

Arduino STEAM Academy Arduino STEM Academy Art without Engineering is dreaming. Engineering without Art is calculating. - Steven K.

Arduino STEAM Academy Arduino STEM Academy Art without Engineering is dreaming. Engineering without Art is calculating. - Steven K. Arduino STEAM Academy Arduino STEM Academy Art without Engineering is dreaming. Engineering without Art is calculating. - Steven K. Roberts Page 1 See Appendix A, for Licensing Attribution information

More information

High Frequency Inverter Design Fundamentals. Chandrashekar DR April 19, 2010

High Frequency Inverter Design Fundamentals. Chandrashekar DR April 19, 2010 High Frequency Inverter Design Fundamentals Chandrashekar DR April 19, 2010 Agenda By the End of this session we will Understand different kinds of back up systems Discuss building blocks of basic inverter

More information

MiniProg Users Guide and Example Projects

MiniProg Users Guide and Example Projects MiniProg Users Guide and Example Projects Cypress MicroSystems, Inc. 2700 162 nd Street SW, Building D Lynnwood, WA 98037 Phone: 800.669.0557 Fax: 425.787.4641 1 TABLE OF CONTENTS Introduction to MiniProg...

More information

An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing. Rajeevan Amirtharajah University of California, Davis

An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing. Rajeevan Amirtharajah University of California, Davis An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing Rajeevan Amirtharajah University of California, Davis Energy Scavenging Wireless Sensor Extend sensor node lifetime

More information

Embedded Systems. 9. Power and Energy. Lothar Thiele. Computer Engineering and Networks Laboratory

Embedded Systems. 9. Power and Energy. Lothar Thiele. Computer Engineering and Networks Laboratory Embedded Systems 9. Power and Energy Lothar Thiele Computer Engineering and Networks Laboratory General Remarks 9 2 Power and Energy Consumption Statements that are true since a decade or longer: Power

More information

MIT Wireless Gigabit Local Area Network WiGLAN

MIT Wireless Gigabit Local Area Network WiGLAN MIT Wireless Gigabit Local Area Network WiGLAN Charles G. Sodini Department of Electrical Engineering and Computer Science Room 39-527 Phone (617) 253-4938 E-Mail: sodini@mit.edu Sponsors: MARCO, SRC,

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 98 Chapter-5 ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 99 CHAPTER-5 Chapter 5: ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION S.No Name of the Sub-Title Page

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Low Power Microphone Acquisition and Processing for Always-on Applications Based on Microcontrollers

Low Power Microphone Acquisition and Processing for Always-on Applications Based on Microcontrollers Low Power Microphone Acquisition and Processing for Always-on Applications Based on Microcontrollers Architecture I: standalone µc Microphone Microcontroller User Output Microcontroller used to implement

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

Automotive Surge Suppression Devices Can Be Replaced with High Voltage IC

Automotive Surge Suppression Devices Can Be Replaced with High Voltage IC Automotive Surge Suppression Devices Can Be Replaced with High Voltage IC By Bruce Haug, Senior Product Marketing Engineer, Linear Technology Background Truck, automotive and heavy equipment environments

More information

Supercal 531 Multi function Integrator that lives up to Your highest expectations

Supercal 531 Multi function Integrator that lives up to Your highest expectations n Thermal Energy n Flow Metering n Supercal 531 Multi function Integrator that lives up to Your highest expectations Supercal 531 Multi functional integrator with unlimited options The Supercal 531 convinces

More information

High Temperature Mixed Signal Capabilities

High Temperature Mixed Signal Capabilities High Temperature Mixed Signal Capabilities June 29, 2017 Product Overview Features o Up to 300 o C Operation o Will support most analog functions. o Easily combined with up to 30K digital gates. o 1.0u

More information

Title: New High Efficiency Intermodulation Cancellation Technique for Single Stage Amplifiers.

Title: New High Efficiency Intermodulation Cancellation Technique for Single Stage Amplifiers. Title: New High Efficiency Intermodulation Cancellation Technique for Single Stage Amplifiers. By: Ray Gutierrez Micronda LLC email: ray@micronda.com February 12, 2008. Introduction: This article provides

More information

+5 V Fixed, Adjustable Low-Dropout Linear Voltage Regulator ADP3367*

+5 V Fixed, Adjustable Low-Dropout Linear Voltage Regulator ADP3367* a FEATURES Low Dropout: 50 mv @ 200 ma Low Dropout: 300 mv @ 300 ma Low Power CMOS: 7 A Quiescent Current Shutdown Mode: 0.2 A Quiescent Current 300 ma Output Current Guaranteed Pin Compatible with MAX667

More information

Powering Automotive Cockpit Electronics

Powering Automotive Cockpit Electronics White Paper Powering Automotive Cockpit Electronics Introduction The growth of automotive cockpit electronics has exploded over the past decade. Previously, self-contained systems such as steering, braking,

More information

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University Low-Power VLSI Seong-Ook Jung 2011. 5. 6. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical l & Electronic Engineering i Contents 1. Introduction 2. Power classification 3. Power

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a 118 CHAPTER 6 Mixed Signal Integrated Circuits Testing - A Study 6.0 Introduction In the previous chapters, efficient and new methods and algorithms have been presented in analog fault diagnosis. Also

More information

32-bit ARM Cortex-M0, Cortex-M3 and Cortex-M4F microcontrollers

32-bit ARM Cortex-M0, Cortex-M3 and Cortex-M4F microcontrollers -bit ARM Cortex-, Cortex- and Cortex-MF microcontrollers Energy, gas, water and smart metering Alarm and security systems Health and fitness applications Industrial and home automation Smart accessories

More information

Direct Digital Amplification (DDX )

Direct Digital Amplification (DDX ) WHITE PAPER Direct Amplification (DDX ) Pure Sound from Source to Speaker Apogee Technology, Inc. 129 Morgan Drive, Norwood, MA 02062 voice: (781) 551-9450 fax: (781) 440-9528 Email: info@apogeeddx.com

More information

AP CANmotion. Evaluation Platform with BLDC Motor featuring XC886CM Flash Microcontroller Version 2007/10. Microcontrollers

AP CANmotion. Evaluation Platform with BLDC Motor featuring XC886CM Flash Microcontroller Version 2007/10. Microcontrollers Application Note, V1.0, April 2007 AP08060 CANmotion Evaluation Platform with BLDC Motor featuring XC886CM Flash Microcontroller Version 2007/10 Microcontrollers Edition 2007-04 Published by Infineon Technologies

More information

4 Channel 200 Ksps 12 Bit Adc With Sequencer In 16 Lead

4 Channel 200 Ksps 12 Bit Adc With Sequencer In 16 Lead We have made it easy for you to find a PDF Ebooks without any digging. And by having access to our ebooks online or by storing it on your computer, you have convenient answers with 4 channel 200 ksps 12

More information

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP ( 1

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (  1 Biomimetic Based Interactive Master Slave Robots T.Anushalalitha 1, Anupa.N 2, Jahnavi.B 3, Keerthana.K 4, Shridevi.S.C 5 Dept. of Telecommunication, BMSCE Bangalore, India. Abstract The system involves

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

Automatic Load Sharing of Transformers using Microcontroller

Automatic Load Sharing of Transformers using Microcontroller Automatic Load Sharing of Transformers using Microcontroller Akhil Krishnan V 1, Arun P S 1, D Yathishan 1, Jomice Thomas 1, D K Narayanan 2 U.G. Students, Department of Electrical and Electronics Engineering,

More information

White Paper: Zero Power Wireless Sensors

White Paper: Zero Power Wireless Sensors Sensor Networks Overview Sensors networks are in widespread use in factories, industrial complexes, commercial and residential buildings, agricultural settings, and urban areas, serving to improve manufacturing

More information

CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM

CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM 74 CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM 4.1 LABORATARY SETUP OF STATCOM The laboratory setup of the STATCOM consists of the following hardware components: Three phase auto transformer used as a 3

More information

Electronics II. Calibration and Curve Fitting

Electronics II. Calibration and Curve Fitting Objective Find components on Digikey Electronics II Calibration and Curve Fitting Determine the parameters for a sensor from the data sheets Predict the voltage vs. temperature relationship for a thermistor

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

10. Output Stages and Power Supplies. 10. Output Stages and Power Supplies TLT-8016 Basic Analog Circuits 2005/2006 1

10. Output Stages and Power Supplies. 10. Output Stages and Power Supplies TLT-8016 Basic Analog Circuits 2005/2006 1 10. Output Stages and Power Supplies 10. Output Stages and Power Supplies TLT-8016 Basic Analog Circuits 2005/2006 1 10.1 Thermal Considerations Considerable power is dissipated as heat in power devices.

More information

LOW POWER SCANNER FOR HIGH-DENSITY ELECTRODE ARRAY NEURAL RECORDING

LOW POWER SCANNER FOR HIGH-DENSITY ELECTRODE ARRAY NEURAL RECORDING LOW POWER SCANNER FOR HIGH-DENSITY ELECTRODE ARRAY NEURAL RECORDING A Thesis work submitted to the faculty of San Francisco State University In Partial Fulfillment of the Requirements for the Degree Master

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

RX23T inverter ref. kit

RX23T inverter ref. kit RX23T inverter ref. kit Deep Dive October 2015 YROTATE-IT-RX23T kit content Page 2 YROTATE-IT-RX23T kit: 3-ph. Brushless Motor Specs Page 3 Motors & driving methods supported Brushless DC Permanent Magnet

More information

SRA 2250/6 RESISTOR ARS-01 RESISTOR AUTOMATICS

SRA 2250/6 RESISTOR ARS-01 RESISTOR AUTOMATICS ELECTRICAL ENGINEERING DIVISION Distribution Network Department SRA 2250/6 RESISTOR ARS-01 RESISTOR AUTOMATICS ELA T150.2 en SRA 2250/6 Resistor specification The SRA 2250/6 Resistor is intended to increase

More information

A Solar-Powered Wireless Data Acquisition Network

A Solar-Powered Wireless Data Acquisition Network A Solar-Powered Wireless Data Acquisition Network E90: Senior Design Project Proposal Authors: Brian Park Simeon Realov Advisor: Prof. Erik Cheever Abstract We are proposing to design and implement a solar-powered

More information

Hello, and welcome to this presentation of the STM32L4 power efficiency optimization with an external SMPS.

Hello, and welcome to this presentation of the STM32L4 power efficiency optimization with an external SMPS. Hello, and welcome to this presentation of the STM32L4 power efficiency optimization with an external SMPS. 1 The use of an external switched mode power supply (i.e. SMPS), with the STM32L4 series of ultra

More information

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Juliet Abraham 1, Dr. B. Paulchamy 2 1 PG Scholar, Hindusthan institute of Technology, coimbtore-32, India 2 Professor and HOD,

More information

Circuit For Mems Application

Circuit For Mems Application A Low Voltage To High Voltage Level Shifter Circuit For Mems Application The level converter is used as interface between low voltages to high voltage B.M. A low voltage to high voltage level shifter circuit

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

High-side Current Sensing Techniques for the isppac-powr1208

High-side Current Sensing Techniques for the isppac-powr1208 February 2003 Introduction Application Note AN6049 The isppac -POWR1208 provides a single-chip integrated solution to power supply monitoring and sequencing problems. Figure 1 shows a simplified functional

More information

CSE 466 Software for Embedded Systems. What is an embedded system?

CSE 466 Software for Embedded Systems. What is an embedded system? CSE 466 Software for Embedded Systems The wrap up Recall the introduction what are embedded systems? What we covered in the course CSE 466 Wrap Up 1 What is an embedded system? Let s proceed inductively

More information

A Level-Crossing Flash Asynchronous Analog-to-Digital Converter

A Level-Crossing Flash Asynchronous Analog-to-Digital Converter 13 March, 2006 Grenoble, France ASYNC 06 A Level-Crossing Flash Asynchronous Analog-to-Digital Converter Filipp Akopyan, Rajit Manohar and Alyssa Apsel Motivation Ultra Low Power ADC will be beneficial

More information

RF Power Harvesting For Prototype Charging. M.G. University, Kerala, India.

RF Power Harvesting For Prototype Charging. M.G. University, Kerala, India. RF Power Harvesting For Prototype Charging Heera Harindran 1, Favas VJ 2, Harisankar 3, Hashim Raza 4, Geliz George 5,Janahanlal P. Stephen 6 1, 2, 3, 4, 5, 6 Department of Electronics and Communication

More information

CHAPTER-5 DESIGN OF DIRECT TORQUE CONTROLLED INDUCTION MOTOR DRIVE

CHAPTER-5 DESIGN OF DIRECT TORQUE CONTROLLED INDUCTION MOTOR DRIVE 113 CHAPTER-5 DESIGN OF DIRECT TORQUE CONTROLLED INDUCTION MOTOR DRIVE 5.1 INTRODUCTION This chapter describes hardware design and implementation of direct torque controlled induction motor drive with

More information

Catalog

Catalog Catalog 1. Description... - 3-2. Features... - 3-3. Application... - 3-4. Electrical specifications...- 4-5. Schematic... - 4-6. Pin Configuration... - 5-7. Antenna... - 6-8. Mechanical Dimension(Unit:

More information

The Datasheet and Interfacing EE3376

The Datasheet and Interfacing EE3376 The Datasheet and Interfacing EE3376 MSP430 Datasheet Modes of the MSP430 Active Mode (this class) LPM0 (CPU asleep) LPM3 (only ACLK on) LPM4 (sleep mode) 0 0 0 0 250uA 0 0 0 1 35 ua 1 1 0 1 1 ua 1 1 1

More information

While DIs may conform to a variety of input characteristics, the most commonly applied ones are IEC Type 1, 2 and 3 (see Figure 1).

While DIs may conform to a variety of input characteristics, the most commonly applied ones are IEC Type 1, 2 and 3 (see Figure 1). New Digital Input Serializers Catapult Channel Count of Digital Input Modules By Thomas Kugelstadt, Texas Instruments The trend towards increased monitoring in industrial automation and process control

More information