Technology Advantages for Analog/RF & Mixed-Signal Designs

Size: px
Start display at page:

Download "Technology Advantages for Analog/RF & Mixed-Signal Designs"

Transcription

1 Technology Advantages for Analog/RF & Mixed-Signal Designs Philippe Cathelin, Andreia Cathelin STMicroelectronics, Crolles, France October 5, 2016 CMP 28FDSOI Training

2 Agenda 2 In the context of IoT ST 28nm UTBB FD-SOI CMOS: Simpler Analog Integration Advantages for analog design Advantages for RF/mmW design Advantages for Mixed-Signal design SoC integration examples Conclusion and takeaways Nota: all measurement data from ST 28nm FD-SOI CMOS, unless otherwise specified

3 IoT Strategic Focus 3 The leading provider of products and solutions for the Internet of Things Smart Industry Smart City Smart Home Smart Things

4 IoT Devices Come in Many Form Factors 4

5 but Their Needs are the Same 5 Processing & Security Sensing & Actuating Connectivity Signal Conditioning & Protection Power & Energy Management Smart Things Smart Home Smart City Ultra-Low Power to High Performance Scalable Security solutions Full range of sensors and actuators 10 cm to 10 km Nano Amps to Kilo Amps Nano Watt to Mega Watt Smart Industry

6 but Their Needs are the Same 6 Processing & Security Connectivity Power & Energy Management Signal Conditioning & Protection Sensing & Actuating Smart Things Smart Home Smart City Smart Industry Ultra-Low Power to 10 cm Nano Watt to Mega Watt Nano Amps FD-SOI enabling Integration Path High Performance Scalable Security solutions to 10 km to Kilo Amps Full range of sensors and actuators

7 Factors of Merit 7 FBB Power and energy efficiency Total dielectric isolation No channel doping No pocket implant Analog performance for mixed signal and RF design Robustness for mission critical applications FD-SOI is unmatched for cost-sensitive markets requiring digital and Mixed Signal SoC integration and performance

8 ST 28nm FD-SOI Transistor Flavors 8 Low VT (LVT) CMOS in FD-SOI; flipped-well Bulk type CMOS RBB FBB NLVT NRVT PLVT PRVT 0.2 Vth (V) FBB RBB Regular VT (RVT) CMOS in FD-SOI VB (V)

9 for Simpler Analog Integration 9 ST 28nm FD- SOI makes analog/rf/hs designer s life easier Improved Analog Performance Improved Noise Efficient Short Devices Very large V T tuning range High performance frequency behavior Speed increase in all analog blocks Higher gain for a given current density Lower gate and parasitic capacitance Lower noise Better matching for short devices and efficient design with L>L min Analog parameters wide range tuning via a new independent tuning knob (back-gate) f T / f max >300GHz for LVTNMOS and high performance passives enabling RF/mmW/HS integration with technology margin Higher bandwidth Lower power Smaller designs Improved design margins wrt PVT variations Novel flexible design architectures

10 Advantages in Analog Design 10 Efficient Short Devices Improved Analog Perf. Improved Noise DC gain-lin (Gm/Gds) 28FDSOI 28LP bulk Gm/Id (1/V) 28FDSOI 28LP bulk Input refered 1/f noise Density vs Id/w (nlvt w=1µm, l=0.12mm) Gate lenght (m) Gate lenght (m) 28FDSOI 28LP bulk Avt (mv.µm) Curves for W=1µm 28LP bulk 28FDSOI Higher Gm for a given current density Cgg (ff/µm) 28LP bulk 28FDSOI Current Density (µa/µm) Input refered 1/f noise Density vs Id/w (nlvt w=1µm, l=1µm) Gate lenght (m) Efficient use of short devices : High analogue Low L Low Vt mismatch (Avt ~ 2mV.µm) Performance example: A 1µm/100nm device has a DC gain of 80 & a σvt of only 6mV Lower gate capacitance Gate lenght (m) Higher achievable bandwidth or lower power for a given bandwidth Current Density (µa/µm) For NLVT NMOS (1µm/120nm), 1.5 db noise improvement in FDSOI Courtesy, L. Vogt, F. Paillardet, C. Charbuillet, P. Scheer, STMicroelectronics

11 Advantages in Analog Design-II 11 Very large V T tuning range by FBB ST 28nm LVT NMOS (typical) +3V FBB T [mv] V T Bulk FD-SOI P-Sub 0V VBBN Forward body bias [V] VBBP Flip-well devices: Large Forward Body Bias (FBB) range Negligible control current FD-SOI (flip-well flavor/lvt devices) -3V P-sub Use back-gate as «VT tuning knob»: Unprecendented ~250mV of tuning range for FD-SOI vs. ~ 10 s mv in any bulk Courtesy, A. Cathelin, STMicroelectronics

12 Body biasing techniques for analog/ms/rf designs 12 Take advantage of the unique very wide-band body biasing (BB) voltage range Propose unique techniques bringing uncontested chip energy saving and revisiting performances SoA Method 1: BB voltage variable over time and PVT Cancel system level PVT effects by continously tuning transistors respective V T Design examples: J. Lechevalier ISSCC2015, D. Danilovic RFIC2016, G. De Streel VLSI2016 Reconfigure circuit/bloc/system depending on application operation mode Design examples: A. Larie ISSCC2015 (bloc level), G. De Streel VLSI2016 (system level) Propose new energy efficient design techniques for tunable blocs via body tie Design examples: I. Sourikopoulos ESSCIRC2016 Method 2: fixed BB voltage Enable operation at ULV (0.5V) and in the same time increase circuit speed Design examples: L. Fanori RFIC2015, A. Lahiri ESSCIRC2016 Minimize switches R on value and excursion for energy efficient and high speed switched-capacitors circuits (e.g. ADC) Design examples: S. Le Tual ISSCC2014, A. Kumar ESSCIRC2016

13 On the usage of FBB for inverter-based Analog/RF 28nm UTBB FDSOI: example of a 450MHz Gm-C filter with IIP3> 1dBv over a 0.7-1V power supply [J. Lechevalier at al, ISSCC2015]

14 Filters with several 100 s MHz bandwidth - PVT + ageing affect system operation - Need to tune/trim independently several parameters impacting overall system: cut-off frequency, linearity, noise, all for an optimal power consumption Analog Filter Design Example V DD V Filter Regulator drop (>20%) Tuning margin Filter supply 14 Global supply Regular CMOS Tuning/trimming solution: Voltage regulator impacting directly the signal path behavior FD-SOI revolutionary solution: individual transistors body biasing oxide-isolated from the signal path behavior

15 Typical example of Analog Filter 15 Inverter-based analog functions: attractive implementations: simple and compact scale nicely with technology nodes Here: analog low-pass Gm-C filter Typical implementation: Fixed capacitors Tune the filter cut-off frequency by tuning Gm Bulk specific solution: Tune local Vdd Local V DD FD-SOI specific solution: Tune all VBB s

16 Inverter based transconductor 16

17 Inverter-based filters 17 Tuned by supply voltage V DD LDO Regulator Voltage headroom Power V Filter V Filter Regulator drop (>20%) Tuning margin Filter supply Global supply

18 Inverter-based filters 18 Tuned by supply voltage V DD LDO Regulator Voltage headroom Power V Filter V Filter Regulator drop (>20%) Tuning margin Filter supply Global supply Eliminate the regulator by using FD-SOI technology

19 Inverter as V-I converter 19 I out 0 V tn V tp V in VFilter β n =β p β n <β p

20 Differential 20 I out V id gm β n =β p β n <β p V DD -V tn +V tp 0 V id

21 Tuning Gm with V DD OK: gm variation; NOK: linearity 21 Local V DD Tune Gm value with local VDD Major issue: it changes also linearity and noise behavior V DD high nominal low gm 0 V input

22 Fixed supply operation, tune by Vbody 22 Eliminate LDO regulator V Filter = V DD V DD V filter = V DD V Filter Bulk FD-SOI

23 FD-SOI: Tuning gm with Vbody OK: gm variation; OK: linearity 23 New tuning knob (and off the signal path): VBBP and VBBN Compensate V DD variations Tune gm back to nominal Ensure constant linearity operation gm high nominal low V DD gm 0 Without back-gate bias V input 0 With back-gate bias V input

24 LC ladder prototype 3 rd order Butterworth low-pass Gm-C filter Impedance scaling Filter Implementation 24 Filter area: 0.04 mm 2 Gm s: ~0.01 mm 2 Capacitors: ~0.03 mm 2

25 Gm Implementation 25 28nm node technology margin L > L min improves r out L = 110nm for all transistors Better linearity & matching Only frequency tuning, no Q-tuning

26 Cut-off frequency tuning 26 For a fixed VDD operation (0.9V), get wide range Fc tuning by Vbody f C = 190 MHz f C =1.08 GHz V BBN = V BBP

27 Cut-off frequency tuning - II 27 For a wide range of VDD (0.7-1V), get wide range Fc tuning by Vbody 0.9V 1V 0.8V 0.7V

28 Linearity - I 28 For a desired value of Fc, get in-spec linearity VDD, by Vbody tuning Cut-off freque ency [MHz] V BBN = V BBP

29 Linearity - II 29 Multiple possibilities, get in-spec linearity VDD, by independent Vbody tuning 1V 0.9V 0.8V 0.7V

30 Example of filter performance at Fc=450MHz, at optimum IIP3, tuning by Vbody 30 For a wide VDD range, get constant system-level behavior with superb analog features (Fc, linearity, noise)

31 Inverter-based Analog Filter 31 RF low-pass Gm-C filter using CMOS inverters Tuned by back-gate instead of supply (no signal path interference) Supply regulator-free operation Energy efficient Low voltage operation (VDD = 0.7V) Competitive linearity Compared to similar circuit in 65nm bulk [2], at same noise level, get X2 linearity for /4 power level [J. Lechevalier at al, ISSCC2015] [2] Houfaf, et al., ISSCC 2012 [5] Saari, et al., TCAS-I 2009 [6] Mobarak, et al., JSSC 2010 [7] Kwon, et al., TMTT 2009 Compared to best-in-class filters [7], at same noise level and Fc, get competitive linearity for /14 power level Best in class in terms of the compromise noise-linearity-power Integrated in ST 28nm FD-SOI CMOS

32 Advantages in RF/mmW Design 32 Active devices high frequency performance Performant passive devices Nbt= 1 For ST 28nm FD-SOI LVTNFET: f T / f max >300GHz Nbt= 2 to 6 For RF operation frequency : Work with L = 100nm MAG = NFmin ~ 10GHz current density: 125 µa/µm For mmw operation frequency (intrinsic models): Lmin MAG = NFmin ~ 60GHz current density: 200 µa/µm 33% less power than in 28LP bulk Operation frequency range : 2 GHz - 50 GHz Inductance range: 0.1 nh - 28 nh Q factor range: Size: 60x60 µm² 600x600 µm² Courtesy, L. Vogt, F. Paillardet, C. Charbuillet, P. Scheer, C. Durand STMicroelectronics

33 A 60GHz 28nm UTBB FD-SOI Reconfigurable Power Amplifier with 21% PAE, 18.2dBm P1dB and 74mW PDC [A. Larie et al., ISSCC2015]

34 dc consumption [mw] GHz transceivers (RF TX part) 65nm PA Other TX blocks 90nm 65nm 65nm 40nm Dissipated power [mw] High dc consumption Low average PAE High PAPR 60GHz PA WiGiG with max. operation 8dB back-off high linearity with optimized power % power in mmw TRx spent in PA Solve the general trade-off linearity and power consumption Output Average power at 8-dB power back-off PAE at 8-dB back-off [%] Output power [dbm] CMOS 40nm CMOS 65nm JSSC, 2013 JSSC, 2012 ISSCC, 2014 RFIC, 2014 RFIC, 2014 JSSC, 2010 MWCL, 2015 ESSCIRC, dB compression point [dbm]

35 Novel mmw Power Amplifier thanks to FD-SOI and wide-range body biasing 35 Classical Doherty Power Amplifier FD-SOI-specific Doherty Power Amplifier Revisit classical Doherty power amplifier architecture Two different class power amplifier in parallel Ability of gradualy change the overall class of the PA (mix of class AB and class C) thanks to wide range FBB optimise in the same time power efficiency and linearity Remove signal path power splitter as in classical implementations reduced signal path losses

36 Reconfigurable linearized power cell - I Drain Drain Antenna diode Source Neutralization transistor Source Power transistor Gate Gate Schematic Layout - Segmented-bias (class-ab / class-c) to improve linearity and dc consumption

37 Reconfigurable linearized power cell - II Drain Drain Antenna diode Source Neutralization transistor Source Power transistor Gate Gate Schematic Layout - Segmented-bias (class-ab / class-c) to improve linearity and dc consumption - Operating class controlled by back-gate voltages V B1 and V B2 No splitter needed as gates can be connected Highly efficient compact alternative to Doherty PA

38 Reconfigurable linearized power cell - III Drain Drain Antenna diode Source Neutralization transistor Source Power transistor Gate Gate Schematic Layout - Segmented-bias (class-ab / class-c) to improve linearity and dc consumption - Operating class controlled by back-gate voltages V B1 and V B2 No splitter needed as gates can be connected Highly efficient compact alternative to Doherty PA - Capacitive neutralization with MOS device to track C gd Better immunity to process and bias variations

39 280µm RFo ut PA topology 580µm RF in Area CORE : 0.16mm²

40 Back-end implementation example 10 ML stack Strict density rules Less than 1dB IL TRF1 Drain Antenna diode Drain Source Neutralization transistor Source Power transistor Gate Gate

41 Small-signal measured results PA gain and linearity modes configured by body bias only, fixed VDD S-parameters results at VDD=1.0V Two highlighted modes : high gain & high linearity (intermediate modes possible) > 8 GHz bandwidth Unconditionally stable 40 S 21 [db] V B1 = V B2 = 2V B1 B2 V B1 = 0V, V B2 = 0.8V High linearity mode -10 High gain mode Freq [GHz]

42 40 Large-signal measured linearity results The power gain and linearity can be continuously tuned thanks to the body bias. When the high linearity mode is reached, the power gain is totally flat which boosts the linearity. 40 Gain [db] High gain mode High linearity mode Continuous tuning PAE [%] Output power 60GHz Gain [db] P 1dB [dbm] PAE 1dB [%] P DC [mw] PAE 8dB_backoff [%] P diss@8db_backoff [mw] 100xP 1dB /P DC High gain mode High linearity mode

43 This work Comparison with state of the art Performant gain, P SAT, linearity and efficiency thanks to FD-SOI technology and low-loss power combiner. Improves ITRS FOM by x10. S. Kulkarni ISSCC 2014 D. Zhao JSSC 2013 D. Zhao JSSC 2012 E. Kaymaksut RFIC 2014 A. Siligaris JSSC 2010 Technology 28nm UTBB FD-SOI 40nm 40nm 40nm 40nm 65nm PD-SOI Operating mode High gain High linearity NA Low/High power NA NA NA Supply voltage [V] Freq. [GHz] Gain [db] / P SAT [dbm] / P 1dB [dbm] / PAE max [%] / PAE 1dB [%] / PAE 8dB_backoff [%] / P DC [mw] / 75 # P DC_8dB_backoff [mw] / 78 # xP 1dB /P DC / 32 # Active area [mm²] * ITRS FOM [W.GHz²] 161,671 1,988 1,198 6, / 2,832 13, ,038 ITRS FOM = P SAT.PAE max.gain.freq² * : with pads # : estimated

44 Comparison with state of the art The high linearity mode reduces the dissipated energy at 8dB back-off with no compromise in linearity. This work S. Kulkarni ISSCC 2014 D. Zhao JSSC 2013 D. Zhao JSSC 2012 E. Kaymaksut RFIC 2014 A. Siligaris JSSC 2010 Technology 28nm UTBB FD-SOI 40nm 40nm 40nm 40nm 65nm PD-SOI Operating mode High gain High linearity NA Low/High power NA NA NA Supply voltage [V] Freq. [GHz] Gain [db] / P SAT [dbm] / P 1dB [dbm] / PAE max [%] / PAE 1dB [%] / PAE 8dB_backoff [%] / P DC [mw] / 75 # P DC_8dB_backoff [mw] / 78 # xP 1dB /P DC / 32 # Active area [mm²] * ITRS FOM [W.GHz²] 161,671 1,988 1,198 6, / 2,832 13, ,038 ITRS FOM = P SAT.PAE max.gain.freq² * : with pads # : estimated

45 Comparison with state of the art FD-SOI enables low supply low power operation still with high performance results. This work S. Kulkarni ISSCC 2014 D. Zhao JSSC 2013 D. Zhao JSSC 2012 E. Kaymaksut RFIC 2014 A. Siligaris JSSC 2010 Technology 28nm UTBB FD-SOI 40nm 40nm 40nm 40nm 65nm PD-SOI Operating mode High gain High linearity NA Low/High power NA NA NA Supply voltage [V] Freq. [GHz] Gain [db] / P SAT [dbm] / P 1dB [dbm] / PAE max [%] / PAE 1dB [%] / PAE 8dB_backoff [%] / P DC [mw] / 75 # P DC_8dB_backoff [mw] / 78 # xP 1dB /P DC / 32 # Active area [mm²] * ITRS FOM [W.GHz²] 161,671 1,988 1,198 6, / 2,832 13, ,038 ITRS FOM = P SAT.PAE max.gain.freq² * : with pads # : estimated

46 Comparison with state of the art system-level performance 8dB back-off 8dB back-off Output power (P SAT ) Low dc consumption Output power (P SAT ) Low dc consumption Linearity (P 1dB ) Max efficiency Previous references Zhao, JSSC 2013 Siligaris, JSSC 2010 Kulkarni, ISSCC 2014 Kaymaksut, RFIC 2014 Linearity (P 1dB ) Max efficiency This work (high linearity mode) Best linearity/consumption tradeoff!

47 This work S. Kulkarni ISSCC 2014 D. Zhao JSSC 2013 D. Zhao JSSC GHz Configurable PA 47 E. Kaymaksut RFIC 2014 A. Siligaris JSSC 2010 Technology 28nm UTBB FD-SOI 40nm 40nm 40nm 40nm 65nm PD-SOI Operating mode High gain High linearity NA Low/High power NA NA NA Supply voltage [V] Freq. [GHz] modes: Gain [db] / P SAT [dbm] / P 1dB [dbm] / PAE max [%] / PAE 1dB [%] / PAE 8dB_backoff [%] / P DC [mw] / 75 # P DC_8dB_backoff [mw] / 78 # xP 1dB/P DC / 32 # Active area [mm²] * ITRS FOM [W.GHz²] 161,671 1,988 1,198 6, / 2,832 13, ,038 ITRS FOM = P SAT.PAE max.gain.freq² * : with pads # : estimated [A. Larie et al., ISSCC2015] Fully WiGiG compliant (linearity and frequency range) New PA architecture: continuously reconfigurable power cells Continuous operation class tuning thanks to body bias with 2 extreme modes: High gain mode: Highest ITRS FOM 10X better than previous SoA High linearity mode: Break the linearity / consumption tradeoff ULV high efficiency operation (Vdd_min = 0.8V) Integrated in ST 28nm FD-SOI CMOS

48 Advantages in MS Design 48 Variability Switch performance Lower capacitance Vth (mv) 28lp bulk 28FDSOI Slow Typ Fast Slow Typ Fast Tighter process corners and less random mismatch than competing processes Benefits: Gate lenght (m) Simpler design process, shorter design cycle Improved yield or improved performance at given yield Improved gate control allows smaller VTH Backgate bias allows for VTH reduction by tuning Results is an unprecedented quality of analog switches Compounding benefits: smaller R -> smaller switch -> compact layout -> lower parastics -> even smaller switch Key for high performance data converters and other Switched-Cap. Circuits Lower juction capacitance makes a substantial difference in high-speed circuits Drastic reduction of self-loading in gain stages Drastic reduction of switch selfloading Two-fold benefit: Leads to incremental improvements Allows the designer to use circuit architectures that would be infeasible/inefficient in bulk technologies Courtesy, S. Le Tual, STMicroelectronics; B. Murmann, Stanford Univ.

49 High-Speed Time Interleaved-ADC example 49 Lower Vth, less variability Better switch: R ON & linearity Faster logic Reduced S/D capacitances Increased comparator BW Reduced switch parasitics [S. Le Tual et al., ISSCC2014] Verma ISSCC 2013 Tabasy VLSI 2013 Kull VLSI 2013 This Work Technology 40nm CMOS 65nm CMOS 32nm SOI 28nm FD-SOI Architecture TI-FLASH TI-SAR TI-SAR TI-SAR Power Supply (V) / Sampling Rate (GS/s) Resolution (bits) Power Consumption (mw) Nyquist (db) Active Area (mm 2 ) Nyquist (fj/conv) Max Input Frequency (GHz) Gain/Skew Calibration Yes Yes Yes No Energy efficient operation Integrated in ST 28nm FD-SOI CMOS O : 28FD-SOI or 32nm SOI Courtesy, B. Murmann, Stanford Univ.

50 SleepTalker - 28nm FDSOI ULV WSN Transmitter: RF-mixed signal-digital SoC [G. de Streel, D. Bol et al., VLSI2016] IR-UWB BPSK and BPM RF transmitter operated at 0.55V IEEE a compliant GHz channels reconfiguration Configurable Data Rate: 0.11, 0.85, 1.7, 6.81, 27.24Mb/s RF SoC: digital and RF transmit path, frequency synthetizer, DC-DC (1.2V to 0.55V) and Body Bias Generator (up to +/-1.8V, for variable output voltage) SoC architecture innovation: Extremelly low power PLL-free architecture with aggressive duty cycling, compensated by on chip adaptive FBB for Local Oscillator tuning and trimming upon the requested transmit frequency Digital Power Amplifier with programmable pulse shaping enabled by body biasing control, meeting FCC spectral regulation for all channels High speed ultra low voltage digital implementation enabled by FBB Record energy efficiency improving by 16 the State of the Art (Tx: 14pJ/bit, SoC: 24pJ/bit) 50

51 Ultra Low Power in IoT technology scaling 51 SoC Architecture 34 mw* Power Supply Loss SoC Power Consumption RF Analytics RF CPU & Memories Power Management Analytics CPU & Memories Other Previous Generation (40LP) <10 mw* X3 to X6 Power Consumption Improvement with FD-SOI See also paper and demo 26.5, ISSCC 2016, K. Yamamoto et al. A 0.7V 1.5-to-2.3mW GNSS Receiver with 2.5-to-3.8dB NF in 28nm FD-SOI FD-SOI 28nm <5 mw** FD-SOI 28nm optimized design * Measured on Silicon / Product Simulation ** Projection

52 Takeaways for Analog/RF/mixed-signal 52 ST 28nm FD-SOI CMOS arguments: For Analog/RF design: FBB as VT tuning knob ultra large tuning range for VT Very good analog performance lower power consumption and operate at L>Lmin for design margin For RF/mmW design, operate at Lmin and add: Efficient Flexible Simple Deep submicron technology features: Front-end: performant f T, f max Back-end + FD-SOI features: performant passive devices For mixed-signal/high-speed design: Improved variability Switch performance Reduced parasitic capacitance

53 Take-aways charts per field

54 Analog/RF design in FD-SOI 54 FD-SOI arguments: FBB as VT tuning knob ultra large tuning range for VT Very good analog performance lower power consumption and operate at L>Lmin for design margin Consequences on analog/rf design: Operate amplifiers at constant Gm Employ new tuning strategies Competitive noise and linearity behavior Obtain strong design independence with respect to PVT variations New robust design oportunities

55 RF/mmW design in FD-SOI 55 FD-SOI arguments: FBB as VT tuning knob ultra large tuning range for VT Very good analog performance lower power consumption Deep submicron technology: Front-end: performant f T, f max Back-end + FD-SOI features: performant passive devices Consequences on RF/mmW design: New family of reconfigurable topologies; new design architectures Power efficient solutions State of the art implementations with concomitent optimisation for each system-level parameter New robust design oportunities

56 FD-SOI arguments: Improved variability Switch performance Reduced parasitic capacitance Mixed-signal / High-speed design in FD-SOI 56 Consequences on MS design: State of the art HS Data Converters Drastic improvement of the Nyquist FOM (FOM=P/(f s *2 ENOB ) ) New robust design oportunities and new design architectures enabled

57 Thank You

Technology Advantages for Analog/RF & Mixed-Signal Designs

Technology Advantages for Analog/RF & Mixed-Signal Designs Technology Advantages for Analog/RF & Mixed-Signal Designs Andreia Cathelin STMicroelectronics, Crolles, France SOI Consortium Forum, Tokyo, January 21 st, 2016 Agenda 2 At a glance ST 28nm UTBB FD-SOI

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016 FD-SOI FOR RF IC DESIGN SITRI LETI Workshop Mercier Eric 08 september 2016 UTBB 28 nm FD-SOI : RF DIRECT BENEFITS (1/2) 3 back-end options available Routing possible on the AluCap level no restriction

More information

Performances of recent outstanding 28FDSOI circuits and systems taped out through the CMP services

Performances of recent outstanding 28FDSOI circuits and systems taped out through the CMP services Performances of recent outstanding 28FDSOI circuits and systems taped out through the CMP services Andreia Cathelin, fellow STMicroelectronics, Crolles andreia.cathelin@st.com CMP, Annual Users Meeting

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

Mixed-Signal Design Innovations in FDSOI Technology. Boris Murmann April 13, 2016

Mixed-Signal Design Innovations in FDSOI Technology. Boris Murmann April 13, 2016 Mixed-Signal Design Innovations in FDSOI Technology Boris Murmann April 13, 2016 Outline Application trends and needs Review of FDSOI advantages Examples High-speed data conversion RF transceivers Medical

More information

A 15 GHz Bandwidth 20 dbm P SAT Power Amplifier with 22% PAE in 65 nm CMOS

A 15 GHz Bandwidth 20 dbm P SAT Power Amplifier with 22% PAE in 65 nm CMOS A 15 GHz Bandwidth 20 dbm P SAT Power Amplifier with 22% PAE in 65 nm CMOS Junlei Zhao, Matteo Bassi, Andrea Mazzanti and Francesco Svelto University of Pavia, Italy Outline Wideband Power Amplifier Design

More information

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation Francesco Carrara 1, Calogero D. Presti 2,1, Fausto Pappalardo 1, and Giuseppe

More information

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 93 CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 4.1 INTRODUCTION Ultra Wide Band (UWB) system is capable of transmitting data over a wide spectrum of frequency bands with low power and high data

More information

Analog/RF design techniques

Analog/RF design techniques Analog/RF design techniques in 28nm technology Andreia Cathelin, fellow STMicroelectronics, Crolles andreia.cathelin@st.com Shanghai, September 25, 2017 Fully depleted Silicon-on-Insulator (FD-SOI) 3 High

More information

An Energy Efficient 1 Gb/s, 6-to-10 GHz CMOS IR-UWB Transmitter and Receiver With Embedded On-Chip Antenna

An Energy Efficient 1 Gb/s, 6-to-10 GHz CMOS IR-UWB Transmitter and Receiver With Embedded On-Chip Antenna An Energy Efficient 1 Gb/s, 6-to-10 GHz CMOS IR-UWB Transmitter and Receiver With Embedded On-Chip Antenna Zeshan Ahmad, Khaled Al-Ashmouny, Kuo-Ken Huang EECS 522 Analog Integrated Circuits (Winter 09)

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Analog/RF design techniques

Analog/RF design techniques Analog/RF design techniques in 28nm technology Andreia Cathelin, fellow STMicroelectronics, Crolles andreia.cathelin@st.com Nanjing, September 22, 2017 Fully depleted Silicon-on-Insulator (FD-SOI) 2 High

More information

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France FDSOI for Low Power System on Chip M.HAOND STMicroelectronics, Crolles, France OUTLINE Introduction : Motivations for FDSOI FDSOI Presentation & Short Channel control MOS VT Construction Performance Analysis

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5 20.5 An Ultra-Low Power 2.4GHz RF Transceiver for Wireless Sensor Networks in 0.13µm CMOS with 400mV Supply and an Integrated Passive RX Front-End Ben W. Cook, Axel D. Berny, Alyosha Molnar, Steven Lanzisera,

More information

T. Taris, H. Kraïmia, JB. Begueret, Y. Deval. Bordeaux, France. 12/15-16, 2011 Lauzanne, Switzerland

T. Taris, H. Kraïmia, JB. Begueret, Y. Deval. Bordeaux, France. 12/15-16, 2011 Lauzanne, Switzerland 1 MOSFET Modeling for Ultra Low-Power RF Design T. Taris, H. Kraïmia, JB. Begueret, Y. Deval Bordeaux, France 2 Context More services in Environment survey Energy management Process optimisation Aging

More information

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Progress In Electromagnetics Research Letters, Vol. 66, 99 104, 2017 An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Lang Chen 1, * and Ye-Bing Gan 1, 2 Abstract A novel asymmetrical single-pole

More information

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell 1 Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell Yee-Huan Ng, Po-Chia Lai, and Jia Ruan Abstract This paper presents a GPS receiver front end design that is based on the single-stage quadrature

More information

A 0.7 V-to-1.0 V 10.1 dbm-to-13.2 dbm 60-GHz Power Amplifier Using Digitally- Assisted LDO Considering HCI Issues

A 0.7 V-to-1.0 V 10.1 dbm-to-13.2 dbm 60-GHz Power Amplifier Using Digitally- Assisted LDO Considering HCI Issues A 0.7 V-to-1.0 V 10.1 dbm-to-13.2 dbm 60-GHz Power Amplifier Using Digitally- Assisted LDO Considering HCI Issues Rui Wu, Yuuki Tsukui, Ryo Minami, Kenichi Okada, and Akira Matsuzawa Tokyo Institute of

More information

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Marvin Onabajo Assistant Professor Analog and Mixed-Signal Integrated Circuits (AMSIC) Research Laboratory Dept.

More information

Power Reduction in RF

Power Reduction in RF Power Reduction in RF SoC Architecture using MEMS Eric Mercier 1 RF domain overview Technologies Piezoelectric materials Acoustic systems Ferroelectric materials Meta materials Magnetic materials RF MEMS

More information

Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh

Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh Abstract A 5GHz low power consumption LNA has been designed here for the receiver front end using 90nm CMOS technology.

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

A GSM Band Low-Power LNA 1. LNA Schematic

A GSM Band Low-Power LNA 1. LNA Schematic A GSM Band Low-Power LNA 1. LNA Schematic Fig1.1 Schematic of the Designed LNA 2. Design Summary Specification Required Simulation Results Peak S21 (Gain) > 10dB >11 db 3dB Bandwidth > 200MHz (

More information

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth Mingshuo Wang a), Fan Ye, Wei Li, and Junyan Ren b) State Key Laboratory

More information

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers 65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers Michael Gordon, Terry Yao, Sorin P. Voinigescu University of Toronto March 10 2006, UBC, Vancouver Outline Motivation mm-wave

More information

Aspemyr, Lars; Jacobsson, Harald; Bao, Mingquan; Sjöland, Henrik; Ferndal, Mattias; Carchon, G

Aspemyr, Lars; Jacobsson, Harald; Bao, Mingquan; Sjöland, Henrik; Ferndal, Mattias; Carchon, G A 15 GHz and a 2 GHz low noise amplifier in 9 nm RF CMOS Aspemyr, Lars; Jacobsson, Harald; Bao, Mingquan; Sjöland, Henrik; Ferndal, Mattias; Carchon, G Published in: Topical Meeting on Silicon Monolithic

More information

Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers

Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers Denis Flandre, Valeriya Kilchytska, Cecilia Gimeno, David Bol, Babak Kazemi Esfeh, Jean-Pierre

More information

d. Can you find intrinsic gain more easily by examining the equation for current? Explain.

d. Can you find intrinsic gain more easily by examining the equation for current? Explain. EECS140 Final Spring 2017 Name SID 1. [8] In a vacuum tube, the plate (or anode) current is a function of the plate voltage (output) and the grid voltage (input). I P = k(v P + µv G ) 3/2 where µ is a

More information

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation 2017 International Conference on Electronic, Control, Automation and Mechanical Engineering (ECAME 2017) ISBN: 978-1-60595-523-0 A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement

More information

Low Power Communication Circuits for WSN

Low Power Communication Circuits for WSN Low Power Communication Circuits for WSN Nate Pletcher, Prof. Jan Rabaey, (B. Otis, Y.H. Chee, S. Gambini, D. Guermandi) Berkeley Wireless Research Center Towards A Micropower Integrated Node power management

More information

2011/12 Cellular IC design RF, Analog, Mixed-Mode

2011/12 Cellular IC design RF, Analog, Mixed-Mode 2011/12 Cellular IC design RF, Analog, Mixed-Mode Mohammed Abdulaziz, Mattias Andersson, Jonas Lindstrand, Xiaodong Liu, Anders Nejdel Ping Lu, Luca Fanori Martin Anderson, Lars Sundström, Pietro Andreani

More information

Long Range Passive RF-ID Tag With UWB Transmitter

Long Range Passive RF-ID Tag With UWB Transmitter Long Range Passive RF-ID Tag With UWB Transmitter Seunghyun Lee Seunghyun Oh Yonghyun Shim seansl@umich.edu austeban@umich.edu yhshim@umich.edu About RF-ID Tag What is a RF-ID Tag? An object for the identification

More information

Low-Noise Amplifiers

Low-Noise Amplifiers 007/Oct 4, 31 1 General Considerations Noise Figure Low-Noise Amplifiers Table 6.1 Typical LNA characteristics in heterodyne systems. NF IIP 3 db 10 dbm Gain 15 db Input and Output Impedance 50 Ω Input

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS Sang-Min Yoo, Jeffrey Walling, Eum Chan Woo, David Allstot University of Washington, Seattle, WA Submission Highlight A fully-integrated

More information

Challenges in Designing CMOS Wireless System-on-a-chip

Challenges in Designing CMOS Wireless System-on-a-chip Challenges in Designing CMOS Wireless System-on-a-chip David Su Atheros Communications Santa Clara, California IEEE Fort Collins, March 2008 Introduction Outline Analog/RF: CMOS Transceiver Building Blocks

More information

Design of low-loss 60 GHz integrated antenna switch in 65 nm CMOS

Design of low-loss 60 GHz integrated antenna switch in 65 nm CMOS LETTER IEICE Electronics Express, Vol.15, No.7, 1 10 Design of low-loss 60 GHz integrated antenna switch in 65 nm CMOS Korkut Kaan Tokgoz a), Seitaro Kawai, Kenichi Okada, and Akira Matsuzawa Department

More information

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs 1 A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs Masaya Miyahara, Yusuke Asada, Daehwa Paik and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline 2 Motivation The Calibration

More information

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector Po-Han Peter Wang, Haowei Jiang, Li Gao, Pinar Sen, Young-Han Kim, Gabriel M. Rebeiz, Patrick P.

More information

A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation over 42MHz Bandwidth

A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation over 42MHz Bandwidth A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation Tong Zhang, Ali Najafi, Chenxin Su, Jacques C. Rudell University of Washington, Seattle Feb. 8, 2017 International

More information

A Novel Low Power Profile for Mixed-Signal Design of SARADC

A Novel Low Power Profile for Mixed-Signal Design of SARADC Electrical and Electronic Engineering 2012, 2(2): 82-87 DOI: 10.5923/j.eee.20120202.15 A Novel Low Power Profile for Mixed-Signal Design of SARADC Saeed Roshani 1,*, Sobhan Roshani 1, Mohammad B. Ghaznavi

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

A 3 8 GHz Broadband Low Power Mixer

A 3 8 GHz Broadband Low Power Mixer PIERS ONLINE, VOL. 4, NO. 3, 8 361 A 3 8 GHz Broadband Low Power Mixer Chih-Hau Chen and Christina F. Jou Institute of Communication Engineering, National Chiao Tung University, Hsinchu, Taiwan Abstract

More information

EECS 290C: Advanced circuit design for wireless Class Final Project Due: Thu May/02/2019

EECS 290C: Advanced circuit design for wireless Class Final Project Due: Thu May/02/2019 EECS 290C: Advanced circuit design for wireless Class Final Project Due: Thu May/02/2019 Project: A fully integrated 2.4-2.5GHz Bluetooth receiver. The receiver has LNA, RF mixer, baseband complex filter,

More information

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line Acronyms ADC analog-to-digital converter BEOL back-end-of-line CDF cumulative distribution function CMOS complementary metal-oxide-semiconductor CPU central processing unit CR charge-redistribution CS

More information

Circuit Seed Overview

Circuit Seed Overview Planting the Future of Electronic Designs Circuit Seed Overview Circuit Seed is family of inventions that work together to process analog signals using 100% digital parts. These are digital circuits and

More information

Analog Circuits and Signal Processing. Series Editors Mohammed Ismail, Dublin, USA Mohamad Sawan, Montreal, Canada

Analog Circuits and Signal Processing. Series Editors Mohammed Ismail, Dublin, USA Mohamad Sawan, Montreal, Canada Analog Circuits and Signal Processing Series Editors Mohammed Ismail, Dublin, USA Mohamad Sawan, Montreal, Canada More information about this series at http://www.springer.com/series/7381 Marco Vigilante

More information

Technology Trend of Ultra-High Data Rate Wireless CMOS Transceivers

Technology Trend of Ultra-High Data Rate Wireless CMOS Transceivers 2017.07.03 Technology Trend of Ultra-High Data Rate Wireless CMOS Transceivers Akira Matsuzawa and Kenichi Okada Tokyo Institute of Technology Contents 1 Demand for high speed data transfer Developed high

More information

CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC

CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC Hussein Fakhoury and Hervé Petit C²S Research Group Presentation Outline Introduction Basic concepts

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Design of mm-wave Injection Locking Power Amplifier. Student: Jiafu Lin Supervisor: Asst. Prof. Boon Chirn Chye

Design of mm-wave Injection Locking Power Amplifier. Student: Jiafu Lin Supervisor: Asst. Prof. Boon Chirn Chye Design of mm-wave Injection Locking Power Amplifier Student: Jiafu Lin Supervisor: Asst. Prof. Boon Chirn Chye 1 Design Review Ref. Process Topology VDD (V) RFIC 2008[1] JSSC 2007[2] JSSC 2009[3] JSSC

More information

mm-wave Transceiver Challenges for the 5G and 60GHz Standards Prof. Emanuel Cohen Technion

mm-wave Transceiver Challenges for the 5G and 60GHz Standards Prof. Emanuel Cohen Technion mm-wave Transceiver Challenges for the 5G and 60GHz Standards Prof. Emanuel Cohen Technion November 11, 11, 2015 2015 1 mm-wave advantage Why is mm-wave interesting now? Available Spectrum 7 GHz of virtually

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Design Considerations for 5G mm-wave Receivers. Stefan Andersson, Lars Sundström, and Sven Mattisson

Design Considerations for 5G mm-wave Receivers. Stefan Andersson, Lars Sundström, and Sven Mattisson Design Considerations for 5G mm-wave Receivers Stefan Andersson, Lars Sundström, and Sven Mattisson Outline Introduction to 5G @ mm-waves mm-wave on-chip frequency generation mm-wave analog front-end design

More information

HIGH-GAIN CMOS LOW NOISE AMPLIFIER FOR ULTRA WIDE-BAND WIRELESS RECEIVER

HIGH-GAIN CMOS LOW NOISE AMPLIFIER FOR ULTRA WIDE-BAND WIRELESS RECEIVER Progress In Electromagnetics Research C, Vol. 7, 183 191, 2009 HIGH-GAIN CMOS LOW NOISE AMPLIFIER FOR ULTRA WIDE-BAND WIRELESS RECEIVER A. Dorafshan and M. Soleimani Electrical Engineering Department Iran

More information

Wide-Band Two-Stage GaAs LNA for Radio Astronomy

Wide-Band Two-Stage GaAs LNA for Radio Astronomy Progress In Electromagnetics Research C, Vol. 56, 119 124, 215 Wide-Band Two-Stage GaAs LNA for Radio Astronomy Jim Kulyk 1,GeWu 2, Leonid Belostotski 2, *, and James W. Haslett 2 Abstract This paper presents

More information

An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain

An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain Michael Gordon, Sorin P. Voinigescu University of Toronto Toronto, Ontario, Canada ESSCIRC 2004, Leuven, Belgium Outline Motivation

More information

Analysis and Design of Analog Integrated Circuits Lecture 20. Advanced Opamp Topologies (Part II)

Analysis and Design of Analog Integrated Circuits Lecture 20. Advanced Opamp Topologies (Part II) Analysis and Design of Analog Integrated Circuits Lecture 20 Advanced Opamp Topologies (Part II) Michael H. Perrott April 15, 2012 Copyright 2012 by Michael H. Perrott All rights reserved. Outline of Lecture

More information

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers James Lin, Daehwa Paik, Seungjong Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers 6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers Massachusetts Institute of Technology February 17, 2005 Copyright 2005

More information

30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining

30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining 2013 IEEE Compound Semiconductor IC Symposium, October 13-15, Monterey, C 30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining 1 H.C. Park, 1 S.

More information

Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014

Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014 Asynchronous SAR ADC: Past, Present and Beyond Mike Shuo-Wei Chen University of Southern California MWSCAS 2014 1 Roles of ADCs Responsibility of ADC is increasing more BW, more dynamic range Potentially

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS 95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS Ekaterina Laskin, Mehdi Khanpour, Ricardo Aroca, Keith W. Tang, Patrice Garcia 1, Sorin P. Voinigescu University

More information

DESIGN OF 3 TO 5 GHz CMOS LOW NOISE AMPLIFIER FOR ULTRA-WIDEBAND (UWB) SYSTEM

DESIGN OF 3 TO 5 GHz CMOS LOW NOISE AMPLIFIER FOR ULTRA-WIDEBAND (UWB) SYSTEM Progress In Electromagnetics Research C, Vol. 9, 25 34, 2009 DESIGN OF 3 TO 5 GHz CMOS LOW NOISE AMPLIFIER FOR ULTRA-WIDEBAND (UWB) SYSTEM S.-K. Wong and F. Kung Faculty of Engineering Multimedia University

More information

Ultra Wideband Amplifier Senior Project Proposal

Ultra Wideband Amplifier Senior Project Proposal Ultra Wideband Amplifier Senior Project Proposal Saif Anwar Sarah Kief Senior Project Fall 2007 December 4, 2007 Advisor: Dr. Prasad Shastry Department of Electrical & Computer Engineering Bradley University

More information

A Three-Stage 60GHz CMOS LNA Using Dual Noise-Matching Technique for 5dB NF

A Three-Stage 60GHz CMOS LNA Using Dual Noise-Matching Technique for 5dB NF A Three-Stage 60GHz CMOS LNA Using Dual Noise-Matching Technique for 5dB NF Ning Li 1, Kenichi Okada 1, Toshihide Suzuki 2, Tatsuya Hirose 2 and Akira 1 1. Tokyo Institute of Technology, Japan 2. Advanced

More information

SOI technology platforms for 5G: Opportunities of collaboration

SOI technology platforms for 5G: Opportunities of collaboration SOI technology platforms for 5G: Opportunities of collaboration Dr. Ionut RADU Director, R&D SOITEC MOS AK workshop, Silicon Valley December 6th, 2017 Sourcing value from substrate Robert E. White ISBN-13:

More information

Data Sheet. VMMK GHz Variable Gain Amplifier in SMT Package. Features. Description. Specifications (6 GHz, Vdd = 5 V, Zin = Zout = 50 Ω)

Data Sheet. VMMK GHz Variable Gain Amplifier in SMT Package. Features. Description. Specifications (6 GHz, Vdd = 5 V, Zin = Zout = 50 Ω) VMMK-. - 18 GHz Variable Gain Amplifier in SMT Package Data Sheet Description The VMMK- is a small and easy-to-use, broadband, variable gain amplifier operating in various frequency bands from.-18 GHz.

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs International Journal of Research in Engineering and Innovation Vol-1, Issue-6 (2017), 60-64 International Journal of Research in Engineering and Innovation (IJREI) journal home page: http://www.ijrei.com

More information

A 2.4 GHZ RECEIVER IN SILICON-ON-SAPPHIRE MICHAEL PETERS. B.S., Kansas State University, 2009 A REPORT

A 2.4 GHZ RECEIVER IN SILICON-ON-SAPPHIRE MICHAEL PETERS. B.S., Kansas State University, 2009 A REPORT A 2.4 GHZ RECEIVER IN SILICON-ON-SAPPHIRE by MICHAEL PETERS B.S., Kansas State University, 2009 A REPORT submitted in partial fulfillment of the requirements for the degree MASTER OF SCIENCE Department

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

Measurement and Modeling of CMOS Devices in Short Millimeter Wave. Minoru Fujishima

Measurement and Modeling of CMOS Devices in Short Millimeter Wave. Minoru Fujishima Measurement and Modeling of CMOS Devices in Short Millimeter Wave Minoru Fujishima Our position We are circuit designers. Our final target is not device modeling, but chip demonstration. Provided device

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

2 Filter Topology Design and Reconfiguration Method 2.1 Filter Topology Design

2 Filter Topology Design and Reconfiguration Method 2.1 Filter Topology Design 3rd International Conference on Multimedia Technology(ICMT 2013) Design of Reconfigurable Low-passFilter for 60GHz Wireless Communication Keyuan Liao 1,2, ZhiqunLi 1,2+, Qin Li 1, Zhigong Wang 1 1 Institute

More information

Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier

Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier Jaehyuk Yoon* (corresponding author) School of Electronic Engineering, College of Information Technology,

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

A 60GHz CMOS Power Amplifier Using Varactor Cross-Coupling Neutralization with Adaptive Bias

A 60GHz CMOS Power Amplifier Using Varactor Cross-Coupling Neutralization with Adaptive Bias A 6GHz CMOS Power Amplifier Using Varactor Cross-Coupling Neutralization with Adaptive Bias Ryo Minami,Kota Matsushita, Hiroki Asada, Kenichi Okada,and Akira Tokyo Institute of Technology, Japan Outline

More information

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier Hugo Serra, Nuno Paulino, and João Goes Centre for Technologies and Systems (CTS) UNINOVA Dept. of Electrical Engineering

More information

Design of High-Speed Op-Amps for Signal Processing

Design of High-Speed Op-Amps for Signal Processing Design of High-Speed Op-Amps for Signal Processing R. Jacob (Jake) Baker, PhD, PE Professor and Chair Boise State University 1910 University Dr. Boise, ID 83725-2075 jbaker@ieee.org Abstract - As CMOS

More information

A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier

A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier Kehul A. Shah 1, N.M.Devashrayee 2 1(Associative Prof., Department of Electronics and Communication,

More information

Research Article Volume 6 Issue No. 12

Research Article Volume 6 Issue No. 12 ISSN XXXX XXXX 2016 IJESC Research Article Volume 6 Issue No. 12 A Fully-Integrated Low-Dropout Regulator with Full Spectrum Power Supply Rejection Muthya la. Manas a 1, G.Laxmi 2, G. Ah med Zees han 3

More information

Quiz2: Mixer and VCO Design

Quiz2: Mixer and VCO Design Quiz2: Mixer and VCO Design Fei Sun and Hao Zhong 1 Question1 - Mixer Design 1.1 Design Criteria According to the specifications described in the problem, we can get the design criteria for mixer design:

More information

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 831 A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design Gerhard Knoblinger, Member, IEEE,

More information

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration M. Casubolo, M. Grassi, A. Lombardi, F. Maloberti, P. Malcovati: "A Two-Bit-per- Cycle Successive-Approximation ADC with Background Calibration"; 15th IEEE Int. Conf. on Electronics, Circuits and Systems,

More information

RF transmitter with Cartesian feedback

RF transmitter with Cartesian feedback UNIVERSITY OF MICHIGAN EECS 522 FINAL PROJECT: RF TRANSMITTER WITH CARTESIAN FEEDBACK 1 RF transmitter with Cartesian feedback Alexandra Holbel, Fu-Pang Hsu, and Chunyang Zhai, University of Michigan Abstract

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4 33.4 A Dual-Channel Direct-Conversion CMOS Receiver for Mobile Multimedia Broadcasting Vincenzo Peluso, Yang Xu, Peter Gazzerro, Yiwu Tang, Li Liu, Zhenbiao Li, Wei Xiong, Charles Persico Qualcomm, San

More information

mmw to THz ultra high data rate radio access technologies

mmw to THz ultra high data rate radio access technologies mmw to THz ultra high data rate radio access technologies Dr. Laurent HERAULT VP Europe, CEA LETI Pierre Vincent Head of RF IC design Lab, CEA LETI Outline mmw communication use cases and standards mmw

More information

Pulse-Based Ultra-Wideband Transmitters for Digital Communication

Pulse-Based Ultra-Wideband Transmitters for Digital Communication Pulse-Based Ultra-Wideband Transmitters for Digital Communication Ph.D. Thesis Defense David Wentzloff Thesis Committee: Prof. Anantha Chandrakasan (Advisor) Prof. Joel Dawson Prof. Charles Sodini Ultra-Wideband

More information

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong Research and Development Activities in RF and Analog IC Design Howard Luong Analog Research Laboratory Department of Electrical and Electronic Engineering Hong Kong University of Science and Technology

More information

Revision History. Contents

Revision History. Contents Revision History Ver. # Rev. Date Rev. By Comment 0.0 9/15/2012 Initial draft 1.0 9/16/2012 Remove class A part 2.0 9/17/2012 Comments and problem 2 added 3.0 10/3/2012 cmdmprobe re-simulation, add supplement

More information

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection Hamid Nejati and Mahmood Barangi 4/14/2010 Outline Introduction System level block diagram Compressive

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation Y. Zu, C.- H. Chan, S.- W. Sin, S.- P. U, R.P. Martins, F. Maloberti: "A 35 fj 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self- Embedded Offset Cancellation"; IEEE Asian Solid-

More information

You will be asked to make the following statement and provide your signature on the top of your solutions.

You will be asked to make the following statement and provide your signature on the top of your solutions. 1 EE 435 Name Exam 1 Spring 2018 Instructions: The points allocated to each problem are as indicated. Note that the first and last problem are weighted more heavily than the rest of the problems. On those

More information