Performances of recent outstanding 28FDSOI circuits and systems taped out through the CMP services

Size: px
Start display at page:

Download "Performances of recent outstanding 28FDSOI circuits and systems taped out through the CMP services"

Transcription

1 Performances of recent outstanding 28FDSOI circuits and systems taped out through the CMP services Andreia Cathelin, fellow STMicroelectronics, Crolles CMP, Annual Users Meeting Paris, January 25th, 2018

2 Fully depleted Silicon-on-Insulator (FD-SOI) 2 High k / metal gate FBB 0 1.1V Power and energy efficiency Elevated SD Total dielectric isolation Analog performance for mixed signal and RF design No channel doping Thin silicon film No pocket implant Robustness for mission critical applications Thin buried oxide <100> substrate FD-SOI is unmatched for cost-sensitive markets requiring digital and Mixed Signal SoC integration and performance

3 Addressing Power Sensitive Markets 3 FinFet High-end Servers Consumer Multimedia Laptops Networking Infrastructure Internet of Things, Wearables Tablet PC Smartphone Automotive Ultimate Digital Density Ultimate Digital / Analog & Mixed-Signal / RF Integration

4 ST 28nm FD-SOI Transistor Flavors Low VT (LVT) CMOS in FD-SOI; flipped-well VBBN D NMOS G BOX N Well PMOS S P Sub D PMOS G BOX NMOS S P Well VBBP LVT NMOS LVT PMOS Nominal VBB GND GND Biasing mode FBB FBB 3 VBBN Bulk type CMOS 4 VBBP D G BOX N Well S P Sub D G S BOX P Well VBBN RVT PMOS RVT NMOS VDD GND RBB RBB 3 3 VBBP+0.3 Vth (V) RBB FBB FBB RBB NLVT NRVT PLVT PRVT Regular VT (RVT) CMOS in FD-SOI VB (V)

5 for Simpler Analog Integration ST 28nm FD- SOI makes analog/rf/hs designer s life easier 5 Improved Analog Performance Improved Noise Efficient Short Devices Very large V T tuning range High performance frequency behavior Speed increase in all analog blocks Higher gain for a given current density Lower gate and parasitic capacitance Lower noise variability Better matching for short devices and efficient design with L>L min Analog parameters wide range tuning via a new independent tuning knob (back-gate) f T / f max >300GHz for LVTNMOS and high performance passives enabling RF/mmW/HS integration with technology margin Higher bandwidth Lower power Smaller designs Improved design margins wrt PVT variations Novel flexible design architectures

6 Advantages in Analog Design 6 Efficient Short Devices Improved Analog Perf. Improved Noise DC gain-lin (Gm/Gds) 28FDSOI 28LP bulk Gm/Id (1/V) 28FDSOI 28LP bulk Input ref. voltage For NLVT W=1µm/L=1µm 28LP bulk Gate lenght (m) Gate lenght (m) 28FDSOI Avt (mv.µm) Curves for W=1µm 28LP bulk 28FDSOI Gate lenght (m) Efficient use of short devices : High analogue Low L Low Vt mismatch (Avt ~ 2mV.µm) Performance example: A 1µm/100nm device has a DC gain of 80 & a Vt of only 6mV Higher Gm for a given current density Cgg (ff/µm) 28LP bulk 28FDSOI Lower gate capacitance Gate lenght (m) Higher achievable bandwidth or lower power for a given bandwidth Input ref. voltage For NLVT W=1µm/L=120nm 28FDSOI 28LP bulk For NLVT MOS 1µA drain current, get 1.5dB lower 1/f noise in FDSOI Idrain/W (µa/µm) Idrain/W (µa/µm)

7 Advantages in Analog Design-II 7 Very large V T tuning range by FBB ST 28nm LVT NMOS (typical) +3V FBB V T [mv] Bulk FD-SOI P-Sub 0V VBBN Forward body bias [V] VBBP Flip-well devices: Large Forward Body Bias (FBB) range Negligible control current FD-SOI (flip-well flavor/lvt devices) -3V P-sub Use back-gate as «VT tuning knob»: Unprecendented ~250mV of tuning range for FD-SOI vs. ~ 10 s mv in any bulk

8 Advantages in RF/mmW Design 8 Active devices high frequency performance Performant passive devices Few passive devices examples: Inductor L=0.5nH 8ML For ST 28nm FD-SOI LVTNFET: f T / f max >300GHz For RF operation frequency : Work with L = 100nm MAG = NFmin ~ 10GHz current density: 125 µa/µm For mmw operation frequency (intrinsic models): Lmin MAG = NFmin ~ 60GHz current density: 200 µa/µm 33% less power than in 28LP bulk Varactor C=50fF Tline: Zc=50 Ohm, 8ML

9 Advantages in Mixed Signal Design 9 Variability Switch performance Lower capacitance Vth (mv) 28lp bulk 28FDSOI Slow Typ Fast Slow Typ Fast Gate lenght (m) Tighter process corners and less random mismatch than competing processes Benefits: Simpler design process, shorter design cycle Improved yield or improved performance at given yield Improved gate control allows smaller VTH Backgate bias allows for VTH reduction by tuning Results is an unprecedented quality of analog switches Compounding benefits: smaller R -> smaller switch -> compact layout -> lower parastics -> even smaller switch Key for high performance data converters and other Switched-Cap. Circuits Lower junction capacitance makes a substantial difference in high-speed circuits Drastic reduction of self-loading in gain stages Drastic reduction of switch selfloading Two-fold benefit: Leads to incremental improvements Allows the designer to use circuit architectures that would be infeasible/inefficient in bulk technologies

10 The FBB Advantage for Digital Design 10 A very reasonable effort for extremely worthwhile benefits Forward Body Biasing: An extremely powerful and flexible concept in FD-SOI 0 1.1V Performance boost Reduce power consumption at a given performance requirement Process compensation reducing the margins to be taken at design Comparatively easy to implement If you ve ever done DVFS you ll have no difficulty with Body Biasing Seamless inclusion in the EDA flow

11 Boost performances Body Bias Advantages Enable area reduction 11 Improve power efficiency Reduce process dispersion Enable leakage reduction Allow compensation techniques

12 Process Compensation Through FBB Leakage 1.0V/125C (mw) 10 1 Speed/Leak Vt distribution across process corners SS +10nm +16nm TT +4nm FF Lmin SS TT FF Compensated design Leakage 1.0V/125C (mw) Compensated Worst Case Speed/Leak Vt distribution WC +17% +10nm +16nm Lmin +4nm SS FBB 500mV TT FBB 250mV FF 0FBB WC 0,1 0,9 1 1,1 1,2 1,3 1,4 1,5 1,6 1,7 0.8V/WC_temp (GHz) 1 0,9 1 1,1 1,2 1,3 1,4 1,5 1,6 1,7 0.8V/WC_temp (GHz) Process compensation through FBB allows Masking SS-FF process spread Recovering +17% speed in 28nm FD-SOI, at no dynamic power expense

13 Design examples in 28nm FD-SOI - from building blocs to SoC s

14 [I. Sourikopoulos et al., ESSCIRC2016] Novel low power design architectures for 60GHz receivers enabled by FDSOI: DFE with un-clocked delay feedback, search minimum delay spread at 2GS/s data rate Total delay >10ns Granular delay < 500ps A Digital Delay Line with Coarse/Fine tuning through gate/body biaising in 28FDSOI 14 FDSOI specific unity delay cell (thyristor revisited): Body bias control for rising/falling edge delay fine tuning Gate control for coarse delay tuning Complementary input scheme for reduced power consumption State of the art results: ultra wide range linear control, fs/mv sensitivity and energy efficiency Gate control Body control

15 [R. Guillaume at al, RFIC2017] 28FD-SOI Distributed Oscillator at 134 GHz and 202GHz 15 The oscillation frequency depends on: The electrical Tline parameters The transistor inverting properties around Fosc (Fmax) The highest Fosc topology proposed so far in a 28nm node Phase noise optimization through body bias tuning Oscillation frequency measurements, histogram over 8 locations on a wafer: <0.1% variation simulation vs measurements Very small on wafer dispersion Oscillation frequency (Fosc) Measurement average Simulation : = GHz Theory : = 134.2GHz Top View Gate Drain Cross Section Source U -20dB/dec Drain Source Gate H21 mmw transistor integration example and freq. parameters

16 A 128 kb Single-Bitline 8.4 fj/bit 90MHz at 0.3V 7T Sense-Amplifier-less SRAM in 28nm FD-SOI 16 7T SRAM architecture with new: single clock cycle and low area booster, decoding scheme and read architecture (no senseamplifier) Energy efficiency achieved by keeping the storage-elements at ULV, whereas critical nodes are boosted Intensive body biasing design State of the art performance: 90MHz read speed at 300mV, dissipating 8.4 fj/bit-access the minimum operating voltage is 240mV the retention voltage is 200mV [B. Mohammadi et al., ESSCIRC2016]

17 Pixel Pitch-Matched Ultrasound Receiver in 28FDSOI Inverter-based amplifier in SC M First proof-of-concept pitch-matched fully-digital subarray beamformer IC for 3D ultrasound Highest per-channel SNR with ~7x area reduction FDSOI Technology Enabler: High integration density Immune to latch-up allow the use of slewing-based amplifier using minimum length cascaded inverters Low V th devices provide area-efficient low R on switches [M-C. Chen et al., ISSCC2017 and JSSC Dec2017]

18 SleepTalker - 28nm FDSOI ULV WSN Transmitter: RF-mixed signal-digital SoC 18 IR-UWB BPSK and BPM RF transmitter operated at 0.55V IEEE a compliant GHz channels reconfiguration Configurable Data Rate: 0.11, 0.85, 1.7, 6.81, 27.24Mb/s RF SoC: digital and RF transmit path, frequency synthetizer, DC-DC (1.2V to 0.55V) and Body Bias Generator (up to +/-1.8V, for variable output voltage) SoC architecture innovation enabled by FDSOI: Extremelly low power PLL-free architecture with aggressive duty cycling, compensated by on chip adaptive FBB for Local Oscillator tuning and trimming upon the requested transmit frequency Digital Power Amplifier with programmable pulse shaping enabled by body biasing control, meeting FCC spectral regulation for all channels High speed ultra low voltage digital implementation enabled by FBB Record energy efficiency improving by 16 the State of the Art (Tx: 14pJ/bit, SoC: 24pJ/bit) [G. de Streel, D. Bol et al., VLSI2016 and JSSC2017]

19 A 128x8 Massive MIMO Precoder-Detector in 28FDSOI Flexible solution wrt to classical 4x4 MIMO implementations, improves by 12dB array and 2X spatial multiplexing gains Hardware reuse, clockgating, body biasing Uses FBB and RBB for performance-power tradeoff and fine tuning of PVT The donwlink pre-coder QRD unit has the highest reported energy reported area cost Uplink detector shows the highest reported energy efficiency and area efficiency detection 19 [H. Prabhu et al., ISSCC2017]

20 ENVISION: A 0.26-to-10TOPS/W Subword-Parallel Dynamic-Voltage-Accuracy- Frequency-Scalable Convolutional Neural Network Processor in 28nm FDSOI Energy efficient FDSOI-enabled processor for deep neural network inference Local processing in connected objects Complete processor with state-of-the-art energy efficiency Up to 10TOPs/Watt Approximate computing techniques exploiting body biasing Scaling 2-16bit accuracy, for >10x efficiency improvement with different bias settings for each accuracy level 18% energy efficiency gains due to body bias [B. Moons et al., ISSCC2017] * + o 1x16b 2x8b 4x4b 30-60% Sparse 4x3-4b Eff. [TOPS/W]Voltage [V] BB nom 0.61V 8.2 TOPS/W8.2 TOPS/W Throughput [GOPS] BB opt 0.63V 10 TOPS/W Throughput [GOPS]

21 Fine-Grained AVS in 28nm FDSOI Processor SoC Energy-efficient FDSOI-enabled processor SoC featuring: Intensive deployment of body biasing techniques Integrated voltage regulation 82-89% system efficiency with adaptive clocking Fully-featured processor (RISC-V Rocket Processor) 41.8 DP GFLOPS/W with integrated regulators Integrated power management Low-overhead power estimation Programmable PMU Sub-µs adaptive voltage scaling (AVS) Up to 40% energy savings Compact implementation: Core area: 1.07mm² 568k Std Cells Boots Linux [B. Keller et al., ESSCIRC2016 and JSSC2017] SC-DCDC Unit Cells Rocket Processor and Vector Accelerator Power Measurement Counters Adaptive Clock Generator SC-DCDC Unit Cells SC-DCDC Control PMU To scope 1.8V 1.0V To scope 1.0V VOLTAGE AND CLOCK GENERATION (0.4 mm 2 ) DCDC toggle Back-Bias Generator NWELL PWELL 48 switched-capacitor DC-DC unit cells FSM... + DC-DC controller V out V ref POWER MANAGEMENT (0.1 mm 2 ) Toggle Counter Clock Counter CORE (1.07 mm 2 ) Rocket Core Branch Prediction Scalar RF int Set body bias Set DC-DC V out 16KB Scalar Inst. Cache (Custom 8T SRAM Macros) Z-scale PMU 8KB Scratchpad Vector Accelerator Vector Issue Unit... (16KB Vector RF uses eight custom 8T SRAM macros)... int int int int int Crossbar Functional units (64-bit Int. Mul., SP/DP FMA) 32KB Shared Data Cache (Custom 8T SRAM Macros) Vector Memory Unit 8KB Vector Inst. Cache (Custom 8T SRAM Macros) core clk Arbiter Async. FIFO/Level shifters Adaptive clock between domains generator Digital IO pads to wire-bonded chip-on-board UNCORE To/from off-chip FPGA FSB and DRAM FPU SRAM BIST INTEGRATED MEASUREMENT Programmable current mirror load I V out waveform load reconstruction 21 I ref

22 Conclusion

23 Takeaways for Analog/RF/mixed-signal body biasing 23 Unprecedented very wide V T tuning range of ~250mV for FDSOI vs ~10mV for bulk New tuning knob with no parasitic effects on the signal path (control under the BOX) Enhanced switches performances for all type of mixed-signal circuits Efficient revisited tuning/trimming strategies: Process/Temperature compensation Circuit reconfiguration Flexible and energy saving SoC solutions Simpler circuits revisit State of the Art Efficient Flexible Simple

24 FD-SOI will Enable the Ultimate Integration 5G for Tomorrow s Connected World 24 Ultra low voltage operations with high performance. Easy and efficient analog integration (ADC/DACs, RF, LDOs, ) FBB for dynamic power/ leakage/ frequency tuning Smart City Smart Industrial Smart Home Enterprise & Cloud Datacenter Core Network Backhaul Mobile Network Performant Ft / Fmax, Performant passive devices Improved noise, Lower parasitic capacitances Adapt power consumption to load Excellent reliability and soft-error performances Smart Car Healthcare Access Network Radio Access Network Performance and power efficiency The Internet of Things Network infrastructure

25 . and in BiCMOS55

26 B55 Design of Low-Power Active Tags for Operation with GHz FMCW Radar [M.S. Dadash et al., IMS2017 and MTT2017] 2.5 V 2.5 V V mod OUT POUT N 2.5 V 2.5 V V mod G C1 130pH 450fF 130pH 450fF 500Ω Modulator VGA 220pH 220pH RF out V DET 450fF 450fF 450fF 70fF TL 300pH:100pH 20fF OUT Activate 450fF 450fF 450fF 270fF 270fF 0.45mA 0.45mA 320pH V bias 2.5 V 3-Stage LNA SiGe SOI Detector VGA RF in 110fF 1.5mA 30pH : 30pH 100fF 1.5mA 100fF 1.5mA IN 100fF 320pH 50µA 70pH : 250pH 25fF V bias 2.5V 450fF 2.5 V 450fF 150pH : 450pH 1.5mA 450fF G C V det G C2 450fF 2kΩ 450fF 2kΩ 450fF 2kΩ V bias V bias V bias 640fF IN 450fF IN 450fF LNA Detector Modulator VGA 20mm x 23 mm 1st low power W band activetag in 55nm SiGe BiCMOS 19dB gain, 9GHz BW, and NF 50 < 9 db Wake up function with 62dB sensitivity 25/10.8mW in active/stand by mode from 2.5/1.8 V supplies mm x 0.88 mm

27 AiP Chip PCB AiP Chip PCB Fully-Packaged System Antenna- in- Package TX RX 3D-Printed Lens PCB (1.62x1.98mm 2 ) IC A Compact 130 GHz Fully-Packaged Point-to-Point Wireless System with 3D-Printed 26dBi Lens Antenna Achieving 12.5Gbps at 1.55 pj/bit/meter TX Data In RX RF In VCOtune OOK TX Buffer 1:1 VCO Current Switching LNA V b,lna 1.5V 40mm OOK RX 1:1 V b,ed PA ED 2.7V 2:1 Biasing Buffer TRX Power Consumption <100mW TX RF Out RX Data Out TX 0 RX OOK Signal TX Data In RX RF In OOK TX Buffer 1:1 VCO Current Switching LNA V b,lna 1.5V VCOtune OOK RX 1:1 V b,ed PA ED 2.7V 2:1 Biasing Buffer OOK Transceiver Performance: A low-cost, energy efficient, high-capacity, scalable, easy-to-deploy, and fullypackaged point-to-point wireless link using OOK modulation. Measurement results verify 12.5Gbps OOK data transmission over 5m. Energy/bit/range FoM is improved >40x compared to the state-of-the-art. Enabled by high gain antenna, efficient and low-cost packaging, and efficient TRX design. TX RF Out RX Data Out BGA Package Stack-Up Aperture-coupled patch antennas Prepreg (75μm) feed RO4003 (200μm) B55 Technology Enablers: - High integration density. - Combined RF/baseband solutions using BiCMOS technology. - High f T /f max enabling high-frequency operation. - High output power enabled by high performance and efficient BJTs. [Nemat Dolatsha, et al. ISSCC 2017] Antenna-in-Package Prepreg (75μm) RF Pads IC Footprint Bottom Feed Top Ring Cavity 1mm 7x7mm 2 Patches

28 ST and the CMP 28 A continued collaboration since almost 30 years Win-win operation Recognized by ST as best service unit for SME and research institutes Supports ST s customers for small volume business Creating ecosystem in advanced More Moore and More Than Moore Silicon technologies The CMP: a professionnal team dedicated to their Users best experience in designing and prototyping IC s

29 29

Technology Advantages for Analog/RF & Mixed-Signal Designs

Technology Advantages for Analog/RF & Mixed-Signal Designs Technology Advantages for Analog/RF & Mixed-Signal Designs Andreia Cathelin STMicroelectronics, Crolles, France SOI Consortium Forum, Tokyo, January 21 st, 2016 Agenda 2 At a glance ST 28nm UTBB FD-SOI

More information

Technology Advantages for Analog/RF & Mixed-Signal Designs

Technology Advantages for Analog/RF & Mixed-Signal Designs Technology Advantages for Analog/RF & Mixed-Signal Designs Philippe Cathelin, Andreia Cathelin STMicroelectronics, Crolles, France October 5, 2016 CMP 28FDSOI Training Agenda 2 In the context of IoT ST

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016 FD-SOI FOR RF IC DESIGN SITRI LETI Workshop Mercier Eric 08 september 2016 UTBB 28 nm FD-SOI : RF DIRECT BENEFITS (1/2) 3 back-end options available Routing possible on the AluCap level no restriction

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

mm-wave Transceiver Challenges for the 5G and 60GHz Standards Prof. Emanuel Cohen Technion

mm-wave Transceiver Challenges for the 5G and 60GHz Standards Prof. Emanuel Cohen Technion mm-wave Transceiver Challenges for the 5G and 60GHz Standards Prof. Emanuel Cohen Technion November 11, 11, 2015 2015 1 mm-wave advantage Why is mm-wave interesting now? Available Spectrum 7 GHz of virtually

More information

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France FDSOI for Low Power System on Chip M.HAOND STMicroelectronics, Crolles, France OUTLINE Introduction : Motivations for FDSOI FDSOI Presentation & Short Channel control MOS VT Construction Performance Analysis

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers 65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers Michael Gordon, Terry Yao, Sorin P. Voinigescu University of Toronto March 10 2006, UBC, Vancouver Outline Motivation mm-wave

More information

Mixed-Signal Design Innovations in FDSOI Technology. Boris Murmann April 13, 2016

Mixed-Signal Design Innovations in FDSOI Technology. Boris Murmann April 13, 2016 Mixed-Signal Design Innovations in FDSOI Technology Boris Murmann April 13, 2016 Outline Application trends and needs Review of FDSOI advantages Examples High-speed data conversion RF transceivers Medical

More information

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS 95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS Ekaterina Laskin, Mehdi Khanpour, Ricardo Aroca, Keith W. Tang, Patrice Garcia 1, Sorin P. Voinigescu University

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

mmw to THz ultra high data rate radio access technologies

mmw to THz ultra high data rate radio access technologies mmw to THz ultra high data rate radio access technologies Dr. Laurent HERAULT VP Europe, CEA LETI Pierre Vincent Head of RF IC design Lab, CEA LETI Outline mmw communication use cases and standards mmw

More information

Design of low-loss 60 GHz integrated antenna switch in 65 nm CMOS

Design of low-loss 60 GHz integrated antenna switch in 65 nm CMOS LETTER IEICE Electronics Express, Vol.15, No.7, 1 10 Design of low-loss 60 GHz integrated antenna switch in 65 nm CMOS Korkut Kaan Tokgoz a), Seitaro Kawai, Kenichi Okada, and Akira Matsuzawa Department

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain

An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain Michael Gordon, Sorin P. Voinigescu University of Toronto Toronto, Ontario, Canada ESSCIRC 2004, Leuven, Belgium Outline Motivation

More information

An Energy Efficient 1 Gb/s, 6-to-10 GHz CMOS IR-UWB Transmitter and Receiver With Embedded On-Chip Antenna

An Energy Efficient 1 Gb/s, 6-to-10 GHz CMOS IR-UWB Transmitter and Receiver With Embedded On-Chip Antenna An Energy Efficient 1 Gb/s, 6-to-10 GHz CMOS IR-UWB Transmitter and Receiver With Embedded On-Chip Antenna Zeshan Ahmad, Khaled Al-Ashmouny, Kuo-Ken Huang EECS 522 Analog Integrated Circuits (Winter 09)

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation Francesco Carrara 1, Calogero D. Presti 2,1, Fausto Pappalardo 1, and Giuseppe

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

on-chip Design for LAr Front-end Readout

on-chip Design for LAr Front-end Readout Silicon-on on-sapphire (SOS) Technology and the Link-on on-chip Design for LAr Front-end Readout Ping Gui, Jingbo Ye, Ryszard Stroynowski Department of Electrical Engineering Physics Department Southern

More information

Challenges in Designing CMOS Wireless System-on-a-chip

Challenges in Designing CMOS Wireless System-on-a-chip Challenges in Designing CMOS Wireless System-on-a-chip David Su Atheros Communications Santa Clara, California IEEE Fort Collins, March 2008 Introduction Outline Analog/RF: CMOS Transceiver Building Blocks

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

Laser attacks on integrated circuits: from CMOS to FD-SOI

Laser attacks on integrated circuits: from CMOS to FD-SOI DTIS 2014 9 th International Conference on Design & Technology of Integrated Systems in Nanoscale Era Laser attacks on integrated circuits: from CMOS to FD-SOI J.-M. Dutertre 1, S. De Castro 1, A. Sarafianos

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

Power Reduction in RF

Power Reduction in RF Power Reduction in RF SoC Architecture using MEMS Eric Mercier 1 RF domain overview Technologies Piezoelectric materials Acoustic systems Ferroelectric materials Meta materials Magnetic materials RF MEMS

More information

SOI technology platforms for 5G: Opportunities of collaboration

SOI technology platforms for 5G: Opportunities of collaboration SOI technology platforms for 5G: Opportunities of collaboration Dr. Ionut RADU Director, R&D SOITEC MOS AK workshop, Silicon Valley December 6th, 2017 Sourcing value from substrate Robert E. White ISBN-13:

More information

A Low Power Integrated UWB Transceiver with Solar Energy Harvesting for Wireless Image Sensor Networks

A Low Power Integrated UWB Transceiver with Solar Energy Harvesting for Wireless Image Sensor Networks A Low Power Integrated UWB Transceiver with Solar Energy Harvesting for Wireless Image Sensor Networks Minjoo Yoo / Jaehyuk Choi / Ming hao Wang April. 13 th. 2009 Contents Introduction Circuit Description

More information

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers 6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers Massachusetts Institute of Technology February 17, 2005 Copyright 2005

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Research Overview. Payam Heydari Nanoscale Communication IC Lab University of California, Irvine, CA

Research Overview. Payam Heydari Nanoscale Communication IC Lab University of California, Irvine, CA Research Overview Payam Heydari Nanoscale Communication IC Lab University of California, Irvine, CA NCIC Lab (Sub)-MMW measurement facility for frequencies up to 120GHz Students 11 Ph.D. students and 2

More information

Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy

Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy RFIC2014, Tampa Bay June 1-3, 2014 Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy High data rate wireless networks MAN / LAN PAN ~7GHz of unlicensed

More information

What to do with THz? Ali M. Niknejad Berkeley Wireless Research Center University of California Berkeley. WCA Futures SIG

What to do with THz? Ali M. Niknejad Berkeley Wireless Research Center University of California Berkeley. WCA Futures SIG What to do with THz? Ali M. Niknejad Berkeley Wireless Research Center University of California Berkeley WCA Futures SIG Outline THz Overview Potential THz Applications THz Transceivers in Silicon? Application

More information

Pulse-Based Ultra-Wideband Transmitters for Digital Communication

Pulse-Based Ultra-Wideband Transmitters for Digital Communication Pulse-Based Ultra-Wideband Transmitters for Digital Communication Ph.D. Thesis Defense David Wentzloff Thesis Committee: Prof. Anantha Chandrakasan (Advisor) Prof. Joel Dawson Prof. Charles Sodini Ultra-Wideband

More information

Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh

Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh Abstract A 5GHz low power consumption LNA has been designed here for the receiver front end using 90nm CMOS technology.

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

2015 The MathWorks, Inc. 1

2015 The MathWorks, Inc. 1 2015 The MathWorks, Inc. 1 What s Behind 5G Wireless Communications? 서기환과장 2015 The MathWorks, Inc. 2 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile

More information

ITRS: RF and Analog/Mixed- Signal Technologies for Wireless Communications. Nick Krajewski CMPE /16/2005

ITRS: RF and Analog/Mixed- Signal Technologies for Wireless Communications. Nick Krajewski CMPE /16/2005 ITRS: RF and Analog/Mixed- Signal Technologies for Wireless Communications Nick Krajewski CMPE 640 11/16/2005 Introduction 4 Working Groups within Wireless Analog and Mixed Signal (0.8 10 GHz) (Covered

More information

A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O

A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O Dennis Fischette, Alvin Loke, Michael Oshima, Bruce Doyle, Roland Bakalski*, Richard DeSantis, Anand Thiruvengadam, Charles Wang,

More information

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Progress In Electromagnetics Research Letters, Vol. 66, 99 104, 2017 An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Lang Chen 1, * and Ye-Bing Gan 1, 2 Abstract A novel asymmetrical single-pole

More information

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis Opportunities and Challenges in Ultra Low Voltage CMOS Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless sensors RFID

More information

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong Research and Development Activities in RF and Analog IC Design Howard Luong Analog Research Laboratory Department of Electrical and Electronic Engineering Hong Kong University of Science and Technology

More information

EECS 290C: Advanced circuit design for wireless Class Final Project Due: Thu May/02/2019

EECS 290C: Advanced circuit design for wireless Class Final Project Due: Thu May/02/2019 EECS 290C: Advanced circuit design for wireless Class Final Project Due: Thu May/02/2019 Project: A fully integrated 2.4-2.5GHz Bluetooth receiver. The receiver has LNA, RF mixer, baseband complex filter,

More information

Advanced Operational Amplifiers

Advanced Operational Amplifiers IsLab Analog Integrated Circuit Design OPA2-47 Advanced Operational Amplifiers כ Kyungpook National University IsLab Analog Integrated Circuit Design OPA2-1 Advanced Current Mirrors and Opamps Two-stage

More information

PROJECT ON MIXED SIGNAL VLSI

PROJECT ON MIXED SIGNAL VLSI PROJECT ON MXED SGNAL VLS Submitted by Vipul Patel TOPC: A GLBERT CELL MXER N CMOS AND BJT TECHNOLOGY 1 A Gilbert Cell Mixer in CMOS and BJT technology Vipul Patel Abstract This paper describes a doubly

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

NOVEMBER 29, 2017 COURSE PROJECT: CMOS TRANSIMPEDANCE AMPLIFIER ECG 720 ADVANCED ANALOG IC DESIGN ERIC MONAHAN

NOVEMBER 29, 2017 COURSE PROJECT: CMOS TRANSIMPEDANCE AMPLIFIER ECG 720 ADVANCED ANALOG IC DESIGN ERIC MONAHAN NOVEMBER 29, 2017 COURSE PROJECT: CMOS TRANSIMPEDANCE AMPLIFIER ECG 720 ADVANCED ANALOG IC DESIGN ERIC MONAHAN 1.Introduction: CMOS Transimpedance Amplifier Avalanche photodiodes (APDs) are highly sensitive,

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Low Power Communication Circuits for WSN

Low Power Communication Circuits for WSN Low Power Communication Circuits for WSN Nate Pletcher, Prof. Jan Rabaey, (B. Otis, Y.H. Chee, S. Gambini, D. Guermandi) Berkeley Wireless Research Center Towards A Micropower Integrated Node power management

More information

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR April 12 2017 22FDX: Tempus Body-Bias Interpolation QoR Presenter: Ramya Srinivasan Authors GLOBALFOUNDRIES: Haritez Narisetty

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

T. Taris, H. Kraïmia, JB. Begueret, Y. Deval. Bordeaux, France. 12/15-16, 2011 Lauzanne, Switzerland

T. Taris, H. Kraïmia, JB. Begueret, Y. Deval. Bordeaux, France. 12/15-16, 2011 Lauzanne, Switzerland 1 MOSFET Modeling for Ultra Low-Power RF Design T. Taris, H. Kraïmia, JB. Begueret, Y. Deval Bordeaux, France 2 Context More services in Environment survey Energy management Process optimisation Aging

More information

BiCMOS055 Technology Offer

BiCMOS055 Technology Offer BiCMOS055 Technology Offer STMicroelectronics Technology & Design Platforms, Crolles February 2016 Best-in-class BiCMOS BiCMOS055 (B55)* is: The latest BiCMOS technology developed in STMicroelectronics

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

High Voltage Operational Amplifiers in SOI Technology

High Voltage Operational Amplifiers in SOI Technology High Voltage Operational Amplifiers in SOI Technology Kishore Penmetsa, Kenneth V. Noren, Herbert L. Hess and Kevin M. Buck Department of Electrical Engineering, University of Idaho Abstract This paper

More information

Packaged mm-wave GaN, GaAs and Si ICs for 5G and automotive radar

Packaged mm-wave GaN, GaAs and Si ICs for 5G and automotive radar Packaged mm-wave GaN, GaAs and Si ICs for 5G and automotive radar Eric Leclerc UMS 1 st Nov 2018 Outline Why heterogenous integration? About UMS Technology portfolio Design tooling: Cadence / GoldenGate

More information

A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation over 42MHz Bandwidth

A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation over 42MHz Bandwidth A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation Tong Zhang, Ali Najafi, Chenxin Su, Jacques C. Rudell University of Washington, Seattle Feb. 8, 2017 International

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Passive Device Characterization for 60-GHz CMOS Power Amplifiers

Passive Device Characterization for 60-GHz CMOS Power Amplifiers Passive Device Characterization for 60-GHz CMOS Power Amplifiers Kenichi Okada, Kota Matsushita, Naoki Takayama, Shogo Ito, Ning Li, and Akira Tokyo Institute of Technology, Japan 2009/4/20 Motivation

More information

IOLTS th IEEE International On-Line Testing Symposium

IOLTS th IEEE International On-Line Testing Symposium IOLTS 2018 24th IEEE International On-Line Testing Symposium Exp. comparison and analysis of the sensitivity to laser fault injection of CMOS FD-SOI and CMOS bulk technologies J.M. Dutertre 1, V. Beroulle

More information

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs International Journal of Research in Engineering and Innovation Vol-1, Issue-6 (2017), 60-64 International Journal of Research in Engineering and Innovation (IJREI) journal home page: http://www.ijrei.com

More information

A Bandgap Voltage Reference Circuit Design In 0.18um Cmos Process

A Bandgap Voltage Reference Circuit Design In 0.18um Cmos Process A Bandgap Voltage Reference Circuit Design In 0.18um Cmos Process It consists of a threshold voltage extractor circuit and a proportional to The behavior of the circuit is analytically described, a design

More information

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM Fengbin Tu, Weiwei Wu, Shouyi Yin, Leibo Liu, Shaojun Wei Institute of Microelectronics Tsinghua University The 45th International

More information

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection Hamid Nejati and Mahmood Barangi 4/14/2010 Outline Introduction System level block diagram Compressive

More information

A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology

A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology Xiang Yi, Chirn Chye Boon, Junyi Sun, Nan Huang and Wei Meng Lim VIRTUS, Nanyang Technological

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 12. SOI Devices and Circuits

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 12. SOI Devices and Circuits EE E6930 Advanced Digital Integrated Circuits Spring, 2002 Lecture 12. SOI Devices and Circuits References CBF, Chapter 5 On-line course reader on SOI Many slides borrowed from C. T. Chuang s 2001 tutorial

More information

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector Po-Han Peter Wang, Haowei Jiang, Li Gao, Pinar Sen, Young-Han Kim, Gabriel M. Rebeiz, Patrick P.

More information

2011/12 Cellular IC design RF, Analog, Mixed-Mode

2011/12 Cellular IC design RF, Analog, Mixed-Mode 2011/12 Cellular IC design RF, Analog, Mixed-Mode Mohammed Abdulaziz, Mattias Andersson, Jonas Lindstrand, Xiaodong Liu, Anders Nejdel Ping Lu, Luca Fanori Martin Anderson, Lars Sundström, Pietro Andreani

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

A SPAD-Based, Direct Time-of-Flight, 64 Zone, 15fps, Parallel Ranging Device Based on 40nm CMOS SPAD Technology

A SPAD-Based, Direct Time-of-Flight, 64 Zone, 15fps, Parallel Ranging Device Based on 40nm CMOS SPAD Technology A SPAD-Based, Direct Time-of-Flight, 64 Zone, 15fps, Parallel Ranging Device Based on 40nm CMOS SPAD Technology Pascal Mellot / Bruce Rae 27 th February 2018 Summary 2 Introduction to ranging device Summary

More information

Measurement and Modeling of CMOS Devices in Short Millimeter Wave. Minoru Fujishima

Measurement and Modeling of CMOS Devices in Short Millimeter Wave. Minoru Fujishima Measurement and Modeling of CMOS Devices in Short Millimeter Wave Minoru Fujishima Our position We are circuit designers. Our final target is not device modeling, but chip demonstration. Provided device

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

Fall 2017 Project Proposal

Fall 2017 Project Proposal Fall 2017 Project Proposal (Henry Thai Hoa Nguyen) Big Picture The goal of my research is to enable design automation in the field of radio frequency (RF) integrated communication circuits and systems.

More information

International Journal of Pure and Applied Mathematics

International Journal of Pure and Applied Mathematics Volume 118 No. 0 018, 4187-4194 ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu A 5- GHz CMOS Low Noise Amplifier with High gain and Low power using Pre-distortion technique A.Vidhya

More information

Monolithic Pixel Detector in a 0.15µm SOI Technology

Monolithic Pixel Detector in a 0.15µm SOI Technology Monolithic Pixel Detector in a 0.15µm SOI Technology 2006 IEEE Nuclear Science Symposium, San Diego, California, Nov. 1, 2006 Yasuo Arai (KEK) KEK Detector Technology Project : [SOIPIX Group] Y. Arai Y.

More information

Updates on THz Amplifiers and Transceiver Architecture

Updates on THz Amplifiers and Transceiver Architecture Updates on THz Amplifiers and Transceiver Architecture Sanggeun Jeon, Young-Chai Ko, Moonil Kim, Jae-Sung Rieh, Jun Heo, Sangheon Pack, and Chulhee Kang School of Electrical Engineering Korea University

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers

Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers Denis Flandre, Valeriya Kilchytska, Cecilia Gimeno, David Bol, Babak Kazemi Esfeh, Jean-Pierre

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 20.2 A Digitally Calibrated 5.15-5.825GHz Transceiver for 802.11a Wireless LANs in 0.18µm CMOS I. Bouras 1, S. Bouras 1, T. Georgantas

More information

A 24 V Chopper Offset-Stabilized Operational Amplifier with Symmetrical RC Notch Filters having sub-10 µv offset and over-120db CMRR

A 24 V Chopper Offset-Stabilized Operational Amplifier with Symmetrical RC Notch Filters having sub-10 µv offset and over-120db CMRR ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 20, Number 4, 2017, 301 312 A 24 V Chopper Offset-Stabilized Operational Amplifier with Symmetrical RC Notch Filters having sub-10 µv offset

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

ISSCC 2006 / SESSION 10 / mm-wave AND BEYOND / 10.1

ISSCC 2006 / SESSION 10 / mm-wave AND BEYOND / 10.1 10.1 A 77GHz 4-Element Phased Array Receiver with On-Chip Dipole Antennas in Silicon A. Babakhani, X. Guan, A. Komijani, A. Natarajan, A. Hajimiri California Institute of Technology, Pasadena, CA Achieving

More information

Session 3. CMOS RF IC Design Principles

Session 3. CMOS RF IC Design Principles Session 3 CMOS RF IC Design Principles Session Delivered by: D. Varun 1 Session Topics Standards RF wireless communications Multi standard RF transceivers RF front end architectures Frequency down conversion

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Design Considerations for 5G mm-wave Receivers. Stefan Andersson, Lars Sundström, and Sven Mattisson

Design Considerations for 5G mm-wave Receivers. Stefan Andersson, Lars Sundström, and Sven Mattisson Design Considerations for 5G mm-wave Receivers Stefan Andersson, Lars Sundström, and Sven Mattisson Outline Introduction to 5G @ mm-waves mm-wave on-chip frequency generation mm-wave analog front-end design

More information

A 0.7 V-to-1.0 V 10.1 dbm-to-13.2 dbm 60-GHz Power Amplifier Using Digitally- Assisted LDO Considering HCI Issues

A 0.7 V-to-1.0 V 10.1 dbm-to-13.2 dbm 60-GHz Power Amplifier Using Digitally- Assisted LDO Considering HCI Issues A 0.7 V-to-1.0 V 10.1 dbm-to-13.2 dbm 60-GHz Power Amplifier Using Digitally- Assisted LDO Considering HCI Issues Rui Wu, Yuuki Tsukui, Ryo Minami, Kenichi Okada, and Akira Matsuzawa Tokyo Institute of

More information

Fast CMOS Transimpedance Amplifier and Comparator circuit for readout of silicon strip detectors at LHC experiments

Fast CMOS Transimpedance Amplifier and Comparator circuit for readout of silicon strip detectors at LHC experiments Fast CMOS Transimpedance Amplifier and Comparator circuit for readout of silicon strip detectors at LHC experiments Jan Kaplon - CERN Wladek Dabrowski - FPN/UMM Cracow Pepe Bernabeu IFIC Valencia Carlos

More information