ENGG1015: lab 3. Sequential Logic

Size: px
Start display at page:

Download "ENGG1015: lab 3. Sequential Logic"

Transcription

1 ENGG1015: lab 3 Sequential Logic 1 st Semester This lab explores the world of sequential logic design. By the end of this lab, you will have implemented a working prototype of a Ball ounter that you will use in the project. 1 Finding your lab partner You will be working with a randomly assigned partner for this lab. To find your assigned lab partner and the assigned table, 1. Log in to Moodle. 2. Select the assignment Lab 3 Partner Please proceed to your assigned table. 2 Getting the Files ownload the files for this lab from 3 Getting the Basic Right lock & Flip-Flop We will start with a simple circuit to experiment with the behavior of sequential circuit. 3.1 In Logisim, construct the following circuit: a b c d clk Figure 1: Simple sequential circuit You can find symbols of -flip-flop (FF) from the Memory library. The symbol of a -flip-flop in Logisim is shown in Figure 2. Note that the symbol is different from the one in lecture notes and from the Xilinx ISE tools. In Logisim, the input to the FF is labeled in the bottom left corner of the symbol. The output is located in top right corner of the symbol. Figure 2: -Flip-Flop in Logisim

2 You should connect the clock signal clk to the clock input located in top left corner of the symbol of all FFs. Instead of using a simple Pin as input, use a lock symbol instead. You can find the clock symbol under the Wiring library. 3.2 heck Yourself Test the behavior of the circuit using the Poke tool. You can toggle the value of a clock input similar to the way you toggle the value of a pin. If you toggle the value of an input to a FF when the value of clk is 0, does the value at the output change? What if the value of clk is 1? When does the output of a FF toggle? 3.3 Timing iagram omplete the following timing diagram of the above circuit given. a b c d clk 3.4 heckoff 1 Show to your TA the completed timing diagram of Figure 1. If the value of d is 1 in cycle n, what is the value of a in cycle n 3? What is the function of this circuit? 4 Ball ounting... Simple As 1, 2, 3 Here will build a logic circuit that counts the number of times a metal ball has passed through a detector. It stops counting when 3 balls have been detected, only after that will the circuit output a 1 on the done signal. The output should stay at 1 permanently until the state machine is reset. The digital logic control will be implemented in this lab, while the detection circuit will be built in next lab. 4.1 State Machine A simple way to implement the function of counting is to build a state machine that memorizes the number of balls it has detected so far. We will use a state machine with 4 states, namely Seen0, Seen1, Seen2, Seen3, which memorizes the fact that we have detected 0, 1, 2, and 3 balls respectively. At reset, the system should be in state Seen0. Page 2 of 5

3 The machine has 1 input signal, det, that is 1 if a ball is detected, 0 otherwise. It has 1 output signal, done, that is 1 only after 3 balls have been detected. In the following space, draw the state transition diagram of this ball counting machine. Make sure your state machine is a complete state machine all the necessary transition arcs must be included. 4.2 heck Yourself State Encoding We will encode the states using a simple binary scheme as follows: Encoding State s1 s0 Seen0 0 0 Seen1 0 1 Seen2 1 0 Seen3 1 1 How many states are there in our state machine? How many -flip-flops are needed to encode the states? 4.3 Next State Logic The next state logic is a combinational function that determines the state that a state machine should be at after the next clock tick. From a state transition diagram, the next state logic for a particular state can be obtained by enumerating the conditions of all the arcs entering that state. We denote the two bit next state signal as ns1 and ns0. omplete the following truth table of the next state logic of our state machine:

4 s1 s0 det ns1 ns Output Logic The output signal done should be 1 only after 3 balls have been detected. Write down the logic equation for done. done = 4.5 omplete State Machine You are now ready to implement the entire state machine. In Logisim, open the design cnt3.circ. Use the above results and the skills you have developed in the past few labs, implement the complete state machine by implementing the next state logic, the output logic, as well as the FFs that store the states. Some of the connections have already been implemented for you. In Logisim, you can use a Tunnel to connect signals in the circuit by its name instead of drawing long wires. To connect two signals in Logisim without drawing a wire between them, simply connect each of them to a tunnel. Then, label the tunnel with the same signal name in the setting pane. 4.6 heckoff 2 emonstrate your completed state machine to your TA and answer the following questions. Where are the states of the machine stored at? How will your circuit be different if a different encoding of the states were used? Which state does your machine start in? How is that accomplished in the real circuit? Is there any requirement in the state encoding to ensure this behavior? What if we want to count to 5 balls? How many states do we need? How many bits do we need if we use a binary encoding? Page 4 of 5

5 5 Getting to the board At the end of the semester, you need to incorporate the ball counting function to the project using the Basys2 board. Here, we will start the implementation work based on the previous results. 5.1 Open the file lab3.xise using Xilinx Project Navigator. ouble-click to open cnt3.sch from the esign pane. A display module is already placed in the design for your convenience. The current state (s1, s0) are connected to the display so you know which state you are at. Also, a ball detection module called detector has been added to your design. It takes the din input and output the det signal when a ball is detected. Use this det signal as an input to your state machine. 5.2 Implement the same design you had in Logisim above using the Xilinx ISE tools. To insert a -flip-flop, select the Flip Flop ategories and use the symbol fdc. (There are many similar variations of FF in that category, make sure you use fdc in this part of the lab.) For fdc, is input port, is output. lock input is labeled with a wedge in the pin. Finally, the pin clr is the clear signal. When clr is 1, it clears the content of the flip-flop to it s default value of 0 regardless of the value of the clock input. HINT : There are 3-input gates available in the Xilinx ISE tools, under the Logic category. NOT gates are listed as inv (Inverter). However, a better solution is to use the built-in symbols with inverted input. For example, the symbol and2b1 is a 2-input AN gate with 1 of the input inverted already. It saves time in constructing your circuit. Make sure you use the following naming convention for your signals to ensure correct connection in the.ucf file and to the rest of the design. name Signal din Input from the ball detection circuit. It is connected to button 3 in this lab. det Signal that indicates detection of a ball by processing din. done output signal that indicates 3 balls have been detected. onnected to led7 s1, s0 current state. ns1, ns0 next state. clr clear the states. onnected to button 0. clk clock input You do not need to create any I/O port in the design, they are all created for you already. To connect two points in the design, apart from drawing a physical wire, you can simply name the two nets with the same name. To give a name to a net, click the Add Net Name button, then on the Add Net Name Options pane, type the name in the Name field. Finally click on the net that you want to set the name. i.e., there is no need to use a tunnel as in Logisim to connect two nets in ISE. 5.3 heckoff 3 emonstrate to your TA a complete working ball counting machine on the Basys2 board. to your partners the design files. You may need them for your final project. Page 5 of 5

Java Bread Board Introductory Digital Electronics Exercise 2, Page 1

Java Bread Board Introductory Digital Electronics Exercise 2, Page 1 Java Bread Board Introductory Digital Electronics Exercise 2, Page 1 JBB Excercise 2 The aim of this lab is to demonstrate how basic logic gates can be used to implement simple memory functions, introduce

More information

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 UNIVERSITY OF BOLTON [EES04] SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 INTERMEDIATE DIGITAL ELECTRONICS AND COMMUNICATIONS MODULE NO: EEE5002

More information

1 Q' 3. You are given a sequential circuit that has the following circuit to compute the next state:

1 Q' 3. You are given a sequential circuit that has the following circuit to compute the next state: UNIVERSITY OF CALIFORNIA Department of Electrical Engineering and Computer Sciences C50 Fall 2001 Prof. Subramanian Homework #3 Due: Friday, September 28, 2001 1. Show how to implement a T flip-flop starting

More information

Computer Architecture: Part II. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University

Computer Architecture: Part II. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Computer Architecture: Part II First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Outline Combinational Circuits Flips Flops Flops Sequential Circuits 204231: Computer

More information

Project Board Game Counter: Digital

Project Board Game Counter: Digital Project 1.3.3 Board Game Counter: Digital Introduction Just a few short weeks ago, most of you knew little or nothing about digital electronics. Now you are about to build and simulate a complete design.

More information

ENGIN 112 Intro to Electrical and Computer Engineering

ENGIN 112 Intro to Electrical and Computer Engineering ENGIN 112 Intro to Electrical and Computer Engineering Lecture 28 Timing Analysis Overview Circuits do not respond instantaneously to input changes Predictable delay in transferring inputs to outputs Propagation

More information

PWM System. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff

PWM System. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff PWM System 1 Pulse Width Modulation (PWM) Pulses are continuously generated which have different widths but the same period between leading edges Duty cycle (% high) controls the average analog voltage

More information

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 7/11/2011

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 7/11/2011 Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 7//2 Ver. 72 7//2 Computer Engineering What is a Sequential Circuit? A circuit consists of a combinational logic circuit and internal memory

More information

EE 308-Digital Electronics Laboratory EXPERIMENT 8 FLIP FLOPS AND SEQUENTIAL CIRCUITS

EE 308-Digital Electronics Laboratory EXPERIMENT 8 FLIP FLOPS AND SEQUENTIAL CIRCUITS EXPERIMENT 8 FLIP FLOPS ND SEUENTIL IRUITS I. INTRODUTION 1. Objectives The objective of this experiment is to become familiar with the basic operational principles of flip-flops and counters. II. PRELIMINRY

More information

UNIT II: Clocked Synchronous Sequential Circuits. CpE 411 Advanced Logic Circuits Design 1

UNIT II: Clocked Synchronous Sequential Circuits. CpE 411 Advanced Logic Circuits Design 1 UNIT II: Clocked Synchronous Sequential Circuits CpE 411 Advanced Logic Circuits Design 1 Unit Outline Analysis of Sequential Circuits State Tables State Diagrams Flip-flop Excitation Tables Basic Design

More information

DIGITAL ELECTRONICS. Methods & diagrams : 1 Graph plotting : - Tables & analysis : - Questions & discussion : 6 Performance : 3

DIGITAL ELECTRONICS. Methods & diagrams : 1 Graph plotting : - Tables & analysis : - Questions & discussion : 6 Performance : 3 DIGITAL ELECTRONICS Marking scheme : Methods & diagrams : 1 Graph plotting : - Tables & analysis : - Questions & discussion : 6 Performance : 3 Aim: This experiment will investigate the function of the

More information

Sequential Logic Design (Latch & FF)

Sequential Logic Design (Latch & FF) /5/25 22: igital esign equential Logic esign (Latch & FF) A. ahu ept of omp. c. & Engg. Indian Institute of Technology Guwahati Outline ombinational Vs equential Logic esign esign a flip flop, that stores

More information

Page 1. Last time we looked at: latches. flip-flop

Page 1. Last time we looked at: latches. flip-flop Last time we looked at: latches flip flops We saw that these devices hold a value depending on their inputs. A data input value is loaded into the register on the rise of the edge. Some circuits have additional

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 2300 Digital Logic & Computer Organization Spring 2018 Timing Analysis Lecture 11: 1 Announcements Lab report guidelines are uploaded on CMS As part of the assignment for Lab 3 report Lab 4(A) prelab

More information

EECS 270: Lab 7. Real-World Interfacing with an Ultrasonic Sensor and a Servo

EECS 270: Lab 7. Real-World Interfacing with an Ultrasonic Sensor and a Servo EECS 270: Lab 7 Real-World Interfacing with an Ultrasonic Sensor and a Servo 1. Overview The purpose of this lab is to learn how to design, develop, and implement a sequential digital circuit whose purpose

More information

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 6/30/2008

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 6/30/2008 Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 6/3/28 6/3/28 Computer Engineering Basic Element for Sequential CircuitsSR Latch Latch Store one-bit information (two states of and ) Two inputs,

More information

PHYSICS 536 Experiment 14: Basic Logic Circuits

PHYSICS 536 Experiment 14: Basic Logic Circuits PHYSICS 5 Experiment 4: Basic Logic Circuits Several T 2 L ICs will be used to illustrate basic logic functions. Their pin connections are shown in the following sketch, which is a top view. 4 2 9 8 +5V

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC LOGIC Logic is a branch of math that tries to look at problems in terms of being either true or false. It will use a set of statements to derive new true

More information

Experiment 5: Basic Digital Logic Circuits

Experiment 5: Basic Digital Logic Circuits ELEC 2010 Laboratory Manual Experiment 5 In-Lab Procedure Page 1 of 5 Experiment 5: Basic Digital Logic Circuits In-Lab Procedure and Report (30 points) Before starting the procedure, record the table

More information

EECS 150 Homework 4 Solutions Fall 2008

EECS 150 Homework 4 Solutions Fall 2008 Problem 1: You have a 100 MHz clock, and need to generate 3 separate clocks at different frequencies: 20 MHz, 1kHz, and 1Hz. How many flip flops do you need to implement each clock if you use: a) a ring

More information

COMPUTER ARCHITECTURE AND ORGANIZATION

COMPUTER ARCHITECTURE AND ORGANIZATION DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING COMPUTER ARCHITECTURE AND ORGANIZATION (CSE18R174) LAB MANUAL Name of the Student:..... Register No Class Year/Sem/Class :. :. :... 1 This page is left intentionally

More information

CS/EE Homework 9 Solutions

CS/EE Homework 9 Solutions S/EE 260 - Homework 9 Solutions ue 4/6/2000 1. onsider the synchronous ripple carry counter on page 5-8 of the notes. Assume that the flip flops have a setup time requirement of 2 ns and that the gates

More information

First Name: Last Name: Lab Cover Page. Teaching Assistant to whom you are submitting

First Name: Last Name: Lab Cover Page. Teaching Assistant to whom you are submitting Student Information First Name School of Computer Science Faculty of Engineering and Computer Science Last Name Student ID Number Lab Cover Page Please complete all (empty) fields: Course Name: DIGITAL

More information

CHAPTER 16 SEQUENTIAL CIRCUIT DESIGN. Click the mouse to move to the next page. Use the ESC key to exit this chapter.

CHAPTER 16 SEQUENTIAL CIRCUIT DESIGN. Click the mouse to move to the next page. Use the ESC key to exit this chapter. CHPTER 6 SEQUENTIL CIRCUIT DESIGN Click the mouse to move to the next page. Use the ESC key to exit this chapter. Contents 6. Summary of Design Procedure for Sequential Circuits 6.2 Design ExampleCode

More information

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC 180A DIGITAL SYSTEMS I Winter 2015

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC 180A DIGITAL SYSTEMS I Winter 2015 UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering EEC 180A DIGITAL SYSTEMS I Winter 2015 LAB 2: INTRODUCTION TO LAB INSTRUMENTS The purpose of this lab is to introduce the

More information

Additional Programs for the Electronics Module Part No

Additional Programs for the Electronics Module Part No Additional Programs for the Electronics Module Part No. 5263 Contents:. Additional programs for the Electronics Module....2 Wiring of the inputs and outputs... 2.3 Additional programs for digital technology...

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Jan M. Rabaey Homework #1: Circuit Simulation EECS 141 Due Friday, January 29, 5pm, box in 240

More information

Design and build a prototype digital motor controller with the following features:

Design and build a prototype digital motor controller with the following features: Nov 3, 26 Project Digital Motor Controller Tom Kovacsi Andrew Rossbach Arnold Stadlin Start: Nov 7, 26 Project Scope Design and build a prototype digital motor controller with the following features:.

More information

Chapter 4: FLIP FLOPS. (Sequential Circuits) By: Siti Sabariah Hj. Salihin ELECTRICAL ENGINEERING DEPARTMENT EE 202 : DIGITAL ELECTRONICS 1

Chapter 4: FLIP FLOPS. (Sequential Circuits) By: Siti Sabariah Hj. Salihin ELECTRICAL ENGINEERING DEPARTMENT EE 202 : DIGITAL ELECTRONICS 1 Chapter 4: FLIP FLOPS (Sequential Circuits) By: Siti Sabariah Hj. Salihin ELECTRICAL ENGINEERING DEPARTMENT 1 CHAPTER 4 : FLIP FLOPS Programme Learning Outcomes, PLO Upon completion of the programme, graduates

More information

A-PDF Split DEMO : Purchase from to remove the watermark 114 FSM

A-PDF Split DEMO : Purchase from   to remove the watermark 114 FSM A-PDF Split DEMO : Purchase from www.a-pdf.com to remove the watermark 114 FSM Xilinx specific Xilinx ISE includes a utility program called StateCAD, which allows a user to draw a state diagram in graphical

More information

EECS-140/141 Introduction to Digital Logic Design Lecture 7:Sequential Logic Basics

EECS-140/141 Introduction to Digital Logic Design Lecture 7:Sequential Logic Basics EECS-140/141 Introduction to Digital Logic Design Lecture 7:Sequential Logic Basics I. OVERVIEW I.A Combinational vs. Sequential Logic Combinational Logic (everything so far): Outputs depend entirely on

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/21 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad University of California,

More information

Finite State Machines CS 64: Computer Organization and Design Logic Lecture #16

Finite State Machines CS 64: Computer Organization and Design Logic Lecture #16 Finite State Machines CS 64: Computer Organization and Design Logic Lecture #16 Ziad Matni Dept. of Computer Science, UCSB Lecture Outline Review of Latches vs. FFs Finite State Machines Moore vs. Mealy

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-378: Computer Hardware esign Winter 26 SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted

More information

Data Logger by Carsten Kristiansen Napier University. November 2004

Data Logger by Carsten Kristiansen Napier University. November 2004 Data Logger by Carsten Kristiansen Napier University November 2004 Title page Author: Carsten Kristiansen. Napier No: 04007712. Assignment title: Data Logger. Education: Electronic and Computer Engineering.

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

Serial Addition. Lecture 29 1

Serial Addition. Lecture 29 1 Serial Addition Operations in digital computers are usually done in parallel because that is a faster mode of operation. Serial operations are slower because a datapath operation takes several clock cycles,

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted SR Latch S R S R SR LATCH WITH NABL: R R' S R t+ t t+ t t t S S' LATCH WITH NABL: This is

More information

Hardware Flags. and the RTI system. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff

Hardware Flags. and the RTI system. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff Hardware Flags and the RTI system 1 Need for hardware flag Often a microcontroller needs to test whether some event has occurred, and then take an action For example A sensor outputs a pulse when a model

More information

Module-20 Shift Registers

Module-20 Shift Registers 1 Module-20 Shift Registers 1. Introduction 2. Types of shift registers 2.1 Serial In Serial Out (SISO) register 2.2 Serial In Parallel Out (SIPO) register 2.3 Parallel In Parallel Out (PIPO) register

More information

Quartus II Simulation with Verilog Designs

Quartus II Simulation with Verilog Designs Quartus II Simulation with Verilog Designs This tutorial introduces the basic features of the Quartus R II Simulator. It shows how the Simulator can be used to assess the correctness and performance of

More information

ENGR-4300 Fall 2008 Test 3. Name. Section 1(MR 8:00) 2(TF 2:00) (circle one) Question I (20 points) Question II (15 points) Question III (20 points)

ENGR-4300 Fall 2008 Test 3. Name. Section 1(MR 8:00) 2(TF 2:00) (circle one) Question I (20 points) Question II (15 points) Question III (20 points) ENGR-43 Fall 8 Test 3 Name Section (MR 8:) (TF :) (circle one) Question I ( points) Question II (5 points) Question III ( points) Question I ( points) Question (5 points) Total ( points): On all questions:

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-27: igital Logic esign Winter 28 SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted

More information

Department of Electronics and Communication Engineering

Department of Electronics and Communication Engineering Department of Electronics and Communication Engineering Sub Code/Name: BEC3L2- DIGITAL ELECTRONICS LAB Name Reg No Branch Year & Semester : : : : LIST OF EXPERIMENTS Sl No Experiments Page No Study of

More information

ICS 151 Final. (Last Name) (First Name)

ICS 151 Final. (Last Name) (First Name) ICS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 19 pages including this cover and 3 blank pages. 2. Write down your Student-Id

More information

Brought to you by. Priti Srinivas Sajja. PS01CMCA02 Course Content. Tutorial Practice Material. Acknowldgement References. Website pritisajja.

Brought to you by. Priti Srinivas Sajja. PS01CMCA02 Course Content. Tutorial Practice Material. Acknowldgement References. Website pritisajja. Brought to you by Priti Srinivas Sajja PS01CMCA02 Course Content Tutorial Practice Material Acknowldgement References Website pritisajja.info Multiplexer Means many into one, also called data selector

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-27: igital Logic esign Fall 27 SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted

More information

Evolvable Hardware in Xilinx Spartan-3 FPGA

Evolvable Hardware in Xilinx Spartan-3 FPGA 5 WSEAS Int. Conf. on YNAMICAL SYSTEMS and CONTROL, Venice, Italy, November -4, 5 (pp66-7) Evolvable Hardware in Xilinx Spartan-3 FPGA RUSTEM POPA, OREL AIORĂCHIOAIE, GABRIEL SÎRBU epartment of Electronics

More information

Solutions. ICS 151 Final. Q1 Q2 Q3 Q4 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature

Solutions. ICS 151 Final. Q1 Q2 Q3 Q4 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature ICS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 19 pages including this cover and 3 blank pages. 2. Write down your Student-Id

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/15 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad University of California,

More information

Exercise 2: OR/NOR Logic Functions

Exercise 2: OR/NOR Logic Functions Exercise 2: OR/NOR Logic Functions EXERCISE OBJECTIVE When you have completed this exercise, you will be able to determine the operation of an OR and a NOR logic gate. You will verify your results by generating

More information

Practical Workbook Logic Design & Switching Theory

Practical Workbook Logic Design & Switching Theory Practical Workbook Logic Design & Switching Theory Name : Year : Batch : Roll No : Department: Second Edition Fall 2017-18 Dept. of Computer & Information Systems Engineering NED University of Engineering

More information

Finite State Machines

Finite State Machines Administrivia Finite State achines Alvin R. Lebeck PS 4 Week 5, Lecture ext uesday: Rajiv lecture on omputer Arithmetic idterm uesday ctober, in class closed book, closed notes Homework #3 is assigned

More information

Learning Outcomes. Spiral 2 3. DeMorgan Equivalents NEGATIVE (ACTIVE LO) LOGIC. Negative Logic One hot State Assignment System Design Examples

Learning Outcomes. Spiral 2 3. DeMorgan Equivalents NEGATIVE (ACTIVE LO) LOGIC. Negative Logic One hot State Assignment System Design Examples 2-3. Learning Outcomes 2-3.2 Spiral 2 3 Negative Logic One hot State Assignment System Design Examples I understand the active low signal convention and how to interface circuits that use both active high

More information

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions CSE 260 Digital Computers: Organization and Logical Design Midterm Solutions Jon Turner 2/28/2008 1. (10 points). The figure below shows a simulation of the washu-1 processor, with some items blanked out.

More information

Adder Comparator 7 segment display Decoder for 7 segment display D flip flop Analysis of sequential circuits. Sequence detector

Adder Comparator 7 segment display Decoder for 7 segment display D flip flop Analysis of sequential circuits. Sequence detector Lecture 3 Adder Comparator 7 segment display Decoder for 7 segment display D flip flop Analysis of sequential circuits Counter Sequence detector TNGE11 Digitalteknik, Lecture 3 1 Adder TNGE11 Digitalteknik,

More information

Exercise 1: AND/NAND Logic Functions

Exercise 1: AND/NAND Logic Functions Exercise 1: AND/NAND Logic Functions EXERCISE OBJECTIVE When you have completed this exercise, you will be able to determine the operation of an AND and a NAND logic gate. You will verify your results

More information

ECE 551: Digital System Design & Synthesis

ECE 551: Digital System Design & Synthesis ECE 551: Digital System Design & Synthesis Lecture Set 9 9.1: Constraints and Timing 9.2: Optimization (In separate file) 03/30/03 1 ECE 551 - Digital System Design & Synthesis Lecture 9.1 - Constraints

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

Project 3 Build a 555-Timer

Project 3 Build a 555-Timer Project 3 Build a 555-Timer For this project, each group will simulate and build an astable multivibrator. However, instead of using the 555 timer chip, you will have to use the devices you learned about

More information

CHAPTER FIVE - Flip-Flops and Related Devices

CHAPTER FIVE - Flip-Flops and Related Devices CHAPTER FIVE - Flip-Flops and Related Devices 5.1 5.2 Same Q output as 5.1. 5.3 5.4 57 5.5 One possibility: 5.6 The response shown would occur If the NAND latch is not working as a Flip-Flop. A permanent

More information

Exercise 1: EXCLUSIVE OR/NOR Gate Functions

Exercise 1: EXCLUSIVE OR/NOR Gate Functions EXCLUSIVE-OR/NOR Gates Digital Logic Fundamentals Exercise 1: EXCLUSIVE OR/NOR Gate Functions EXERCISE OBJECTIVE When you have completed this exercise, you will be able to demonstrate the operation of

More information

Electronic Instrumentation

Electronic Instrumentation 5V 1 1 1 2 9 10 7 CL CLK LD TE PE CO 15 + 6 5 4 3 P4 P3 P2 P1 Q4 Q3 Q2 Q1 11 12 13 14 2-14161 Electronic Instrumentation Experiment 7 Digital Logic Devices and the 555 Timer Part A: Basic Logic Gates Part

More information

Laboratory Manual CS (P) Digital Systems Lab

Laboratory Manual CS (P) Digital Systems Lab Laboratory Manual CS 09 408 (P) Digital Systems Lab INDEX CYCLE I A. Familiarization of digital ICs and digital IC trainer kit 1 Verification of truth tables B. Study of combinational circuits 2. Verification

More information

DIGITAL DESIGN WITH SM CHARTS

DIGITAL DESIGN WITH SM CHARTS DIGITAL DESIGN WITH SM CHARTS By: Dr K S Gurumurthy, UVCE, Bangalore e-notes for the lectures VTU EDUSAT Programme Dr. K S Gurumurthy, UVCE, Blore Page 1 19/04/2005 DIGITAL DESIGN WITH SM CHARTS The utility

More information

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics Sr. No. Date TITLE To From Marks Sign 1 To verify the application of op-amp as an Inverting Amplifier 2 To

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information

CSE208W Lecture #1 Notes Barry E. Mapen

CSE208W Lecture #1 Notes Barry E. Mapen CSE208W Lecture #1 Notes Barry E. Mapen Parts Kit Before we start, let s take a look at the parts kit. Open you kit when you have some time and start to learn what the pieces are inside of that kit. Be

More information

Spec. Instructor: Center

Spec. Instructor: Center PDHonline Course E379 (5 PDH) Digital Logic Circuits Volume III Spec ial Logic Circuits Instructor: Lee Layton, P.E 2012 PDH Online PDH Center 5272 Meadow Estatess Drive Fairfax, VA 22030-6658 Phone &

More information

Digital Circuits Laboratory LAB no. 12. REGISTERS

Digital Circuits Laboratory LAB no. 12. REGISTERS REGISTERS are sequential logic circuits that store and/or shift binary sequences. can be classified in: memory registers (with parallel load) - latch shift registers (with serial load) combined registers

More information

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Chapter 3 Digital Logic Structures Transistor: Building Block of Computers Microprocessors contain millions of transistors Intel Pentium 4 (2): 48 million IBM PowerPC 75FX (22): 38 million IBM/Apple PowerPC

More information

Lecture 7: Components of Phase Locked Loop (PLL)

Lecture 7: Components of Phase Locked Loop (PLL) Lecture 7: Components of Phase Locked Loop (PLL) CSCE 6933/5933 Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors pages,

More information

5. (Adapted from 3.25)

5. (Adapted from 3.25) Homework02 1. According to the following equations, draw the circuits and write the matching truth tables.the circuits can be drawn either in transistor-level or symbols. a. X = NOT (NOT(A) OR (A AND B

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1 LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM K-Map for SUM: K-Map for CARRY: SUM = A B + AB CARRY = AB 22/ODD/III/ECE/DE/LM Page No. EXPT NO: DATE : DESIGN OF ADDER AND SUBTRACTOR AIM: To design

More information

Hardware Implementation of BCH Error-Correcting Codes on a FPGA

Hardware Implementation of BCH Error-Correcting Codes on a FPGA Hardware Implementation of BCH Error-Correcting Codes on a FPGA Laurenţiu Mihai Ionescu Constantin Anton Ion Tutănescu University of Piteşti University of Piteşti University of Piteşti Alin Mazăre University

More information

DIGITAL CIRCUITS AND SYSTEMS ASSIGNMENTS 1 SOLUTIONS

DIGITAL CIRCUITS AND SYSTEMS ASSIGNMENTS 1 SOLUTIONS DIGITAL CIRCUITS AND SYSTEMS ASSIGNMENTS 1 SOLUTIONS 1. Analog signal varies continuously between two amplitudes over the given interval of time. Between these limits of amplitude and time, the signal

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

Computer Architecture (TT 2012)

Computer Architecture (TT 2012) Computer Architecture (TT 212) Laws of Attraction aniel Kroening Oxford University, Computer Science epartment Version 1., 212 . Kroening: Computer Architecture (TT 212) 2 . Kroening: Computer Architecture

More information

Computer Architecture Laboratory

Computer Architecture Laboratory 304-487 Computer rchitecture Laboratory ssignment #2: Harmonic Frequency ynthesizer and FK Modulator Introduction In this assignment, you are going to implement two designs in VHDL. The first design involves

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

Quartus II Simulation with Verilog Designs

Quartus II Simulation with Verilog Designs Quartus II Simulation with Verilog Designs This tutorial introduces the basic features of the Quartus R II Simulator. It shows how the Simulator can be used to assess the correctness and performance of

More information

2014 Paper E2.1: Digital Electronics II

2014 Paper E2.1: Digital Electronics II 2014 Paper E2.1: Digital Electronics II Answer ALL questions. There are THREE questions on the paper. Question ONE counts for 40% of the marks, other questions 30% Time allowed: 2 hours (Not to be removed

More information

Chapter 9. sequential logic technologies

Chapter 9. sequential logic technologies Chapter 9. sequential logic technologies In chapter 4, we looked at diverse implementation technologies for combinational logic circuits: random logic, regular logic, programmable logic. Similarly, variations

More information

UNIVERSITI MALAYSIA PERLIS

UNIVERSITI MALAYSIA PERLIS UNIVERSITI MALAYSIA PERLIS SCHOOL OF COMPUTER & COMMUNICATIONS ENGINEERING EKT303/4 PRINCIPLES OF COMPUTER ARCHITECTURE LAB 5 : STATE MACHINE DESIGNS IN VHDL LAB 5: Finite State Machine Design OUTCOME:

More information

EE 109 Midterm Review

EE 109 Midterm Review EE 109 Midterm Review 1 2 Number Systems Computer use base 2 (binary) 0 and 1 Humans use base 10 (decimal) 0 to 9 Humans using computers: Base 16 (hexadecimal) 0 to 15 (0 to 9,A,B,C,D,E,F) Base 8 (octal)

More information

Interfacing Clockaudio microphones with the Logic Box

Interfacing Clockaudio microphones with the Logic Box Interfacing Clockaudio microphones with the INTRODUCTION One popular application for the is to interface with conferencing microphones that feature mute switches and LED indicators, and Clockaudio is a

More information

logic system Outputs The addition of feedback means that the state of the circuit may change with time; it is sequential. logic system Outputs

logic system Outputs The addition of feedback means that the state of the circuit may change with time; it is sequential. logic system Outputs Sequential Logic The combinational logic circuits we ve looked at so far, whether they be simple gates or more complex circuits have clearly separated inputs and outputs. A change in the input produces

More information

Written exam IE1204/5 Digital Design Friday 13/

Written exam IE1204/5 Digital Design Friday 13/ Written exam IE204/5 Digital Design Friday 3/ 207 08.00-2.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandqvist tel 08-7904487 Teacher: Valhallavägen, Ahmed Hemani 08-7904469

More information

Simulation using Tutorial Verilog XL Release Date: 02/12/2005

Simulation using Tutorial Verilog XL Release Date: 02/12/2005 Simulation using Tutorial - 1 - Logic Simulation using Verilog XL: This tutorial includes one way of simulating digital circuits using Verilog XL. Here we have taken an example of two cascaded inverters.

More information

ELECTROVATE. Electromania Problem Statement Discussion

ELECTROVATE. Electromania Problem Statement Discussion ELECTROVATE Electromania Problem Statement Discussion An Competition Basic Circuiting What is Electromania? Innovation Debugging Lets Revise the Basics Electronics Digital Analog Digital Electronics Similar

More information

Lab 6. Binary Counter

Lab 6. Binary Counter Lab 6. Binary Counter Overview of this Session In this laboratory, you will learn: Continue to use the scope to characterize frequencies How to count in binary How to use an MC14161 or CD40161BE counter

More information

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER Final Project ANALOG TO DIGITAL CONVERTER As preparation for the laboratory, examine the final circuit diagram at the end of these notes and write a brief plan for the project, including a list of the

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC0 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC0 74HC/HCT/HCU/HCMOS Logic Package Information The IC0 74HC/HCT/HCU/HCMOS

More information

The SOL-20 Computer s Cassette interface.

The SOL-20 Computer s Cassette interface. The SOL-20 Computer s Cassette interface. ( H. Holden. Dec. 2018 ) Introduction: The Cassette interface designed by Processor Technology (PT) for their SOL-20 was made to be compatible with the Kansas

More information

ENGR-2300 Electronic Instrumentation Quiz 3 Spring Name: Solution Please write you name on each page. Section: 1 or 2

ENGR-2300 Electronic Instrumentation Quiz 3 Spring Name: Solution Please write you name on each page. Section: 1 or 2 ENGR-2300 Electronic Instrumentation Quiz 3 Spring 2018 Name: Solution Please write you name on each page Section: 1 or 2 4 Questions Sets, 20 Points Each LMS Portion, 20 Points Question Set 1) Question

More information

CHAPTER 5 DESIGNS AND ANALYSIS OF SINGLE ELECTRON TECHNOLOGY BASED MEMORY UNITS

CHAPTER 5 DESIGNS AND ANALYSIS OF SINGLE ELECTRON TECHNOLOGY BASED MEMORY UNITS 208 CHAPTER 5 DESIGNS AND ANALYSIS OF SINGLE ELECTRON TECHNOLOGY BASED MEMORY UNITS 5.1 INTRODUCTION The objective of this chapter is to design and verify the single electron technology based memory circuits

More information