Java Bread Board Introductory Digital Electronics Exercise 2, Page 1

Size: px
Start display at page:

Download "Java Bread Board Introductory Digital Electronics Exercise 2, Page 1"

Transcription

1 Java Bread Board Introductory Digital Electronics Exercise 2, Page 1 JBB Excercise 2 The aim of this lab is to demonstrate how basic logic gates can be used to implement simple memory functions, introduce the concept of a flip-flop and how they can be used to implement more complex components. Task 1 Place a generic 7400 NAND gate IC into the bread board. This IC contains four, two input NAND gates, for the purpose of this task we shall be using NAND gates 1 and 2, refer to JBB laboratory 1 for IC pin outs. Wire this IC to the power rails (pins 14 & 7) and its inputs / outputs as shown in figures 1 and 2. All other pins may be left unconnected. Question : start the simulation with input A = 0 and input B = 1. What is the output Y? Set input A and B = 1. Question : Pulse input B low i.e , what is the output Y Question : Pulse input A low i.e , what is the output Y Question : what logic function do the two NAND gates perform? o Tip, try pulsing input A or B low multiple times. Note, only one input should be low at any one time. Question : what is the output when input A and input B are connected to 0V? Figure 1: task 1 NAND gate circuit diagram of an SR latch Figure 2: task 1 suggested bread board layout

2 Java Bread Board Introductory Digital Electronics Exercise 2, Page 2 Figure 3: D-type flip flop waveform diagram VCC CLR2 D2 CLK2 PR2 Q2 *Q2 CLR1 D1 CLK1 PR1 Q1 *Q1 GND Inputs Outputs PR CLR CLK D Q *Q L H X X H L H L X X L H L L X X H H H H H H L H H L L H H H L X Q o * Q o Figure 4: 7474 D-type flip flop wiring diagram PRE CLR Q CLK _ Q D Figure 5: D-type flip flop constructed from 3 SR latches

3 Java Bread Board Introductory Digital Electronics Exercise 2, Page 3 Task 2 Place a generic 7474 D-type flip flop IC into the bread board. A D-type flip flop is an electronic circuit that can store the logic state of a single signal (called a data bit). This signal is only stored i.e. the input (D) is copied to the output (Q), on the rising edge of a clock signal input (CLK) as shown in figure 3. The output Q can also be set to a known default state (normally performed during power up) using the active low preset (PR) or clear (CLR) control lines. At all other times the output Q is fixed to the most recently stored value. This IC contains two D-type flip flops, as shown in figure 4, for the purpose of this task we shall be using DFF 1, pins 1,2,3,4,5 and 6. Wire this IC to the power rails (pins 14 & 7) and its Q output (pin 5) to an LED. The inputs to this DFF (pins 1,2,3 & 4) should be connected to +5V via a DIP switch. All other pins may be left unconnected. Note, the internal architecture of a D-type flip flop is based on a number of Set / Reset (SR) latches as shown in figure 5. Question : start the simulation with all inputs set to 1. What is the output Q? Question : Pulse the preset pin (PR, pin 4) low i.e ? What is the output Q? Question : Pulse the clear pin (CLR, pin 1) low i.e ? What is the output Q? Question Refer to figure 3 to determine what the output Q will be if the clock pin (CLK, pin 3) is pulsed low i.e , then check this result on the simulator. Question : what should happen to the output if data pin (D, pin 2) is now connected to a logic 0? Check this result on the simulator. Question : what should happen if the clock pin (CLK, pin 3) is pulsed low again i.e ? Check this result on the simulator. Figure 6: task 2 suggested bread board layout Task 3 Connect up the circuit shown in figure 7. Question : what will be the output signal of the D-type flip flop look like? How does it compare with the clock oscillator s output? Confirm your answer on the simulator.

4 Java Bread Board Introductory Digital Electronics Exercise 2, Page 4 o Tip, connect LEDs to both the clock oscillator output and the D-type Figure 7: 7474 D-type flip flop driven by an oscillator Task 4 Connect up the circuit shown in figure 8. Question : what will be the output signal of the final D type flip flop look like? How does it compare with the clock oscillator s output? Confirm your answer on the simulator. o Tip, connect LEDs to both the clock oscillator output and the D-type Question : write out the truth table for this D-type flip flop circuit i.e. outputs Output0 and Output1 for four clock pulses on the input CLK. You can assume the initial state is Output0 = Output1 = Logic 0. What function does this circuit perform? Figure 8: Two 7474 D-type flip flops driven by an oscillator Task 5 Connect up the circuit shown in figure 9. Note, inputs A and B should be connected to DIP switches. Question : start the simulation with all inputs set to 1. Pulse input A low i.e. a 1 0 1, using a DIP switch. What is the output s state? Question : what should happen to each Q output when input A is pulsed high i.e. a 0 1 0? Confirm your answer on the simulator.

5 Java Bread Board Introductory Digital Electronics Exercise 2, Page 5 o Tip, connect LEDs to both the clock oscillator output and each D-type Question : if this circuit was used to represent a decimal number using a one-hot encoding scheme what is the maximum number it could represent? Figure 9: Four series 7474 D-type flip flops Additional Task Note, you would not be expected to do this type of logic design in an exam. Task 6 Alter the circuit shown in figure 9 to support a parallel load via a control line LD and data in lines D0 D3. When LD is low, input A is pulsed high the circuit will behave as previously observed. However, when LD is high, input A is pulsed high the circuit will transfer the value on data in pins D0 D3 to their associated outputs Q0 Q3. To support these different operating mode you can use a two input multiplexer as shown in figure 10. Question : construct a two input multiplexer based on the circuit in figure 10 using only 7400 NAND gates. Confirm your solution on the simulator. Question : add a two input multiplexer to each D-type flip flops D input to achieve the desired functionality. Confirm your solution on the simulator. Figure 10: Two input multiplexer

Lab 6. Binary Counter

Lab 6. Binary Counter Lab 6. Binary Counter Overview of this Session In this laboratory, you will learn: Continue to use the scope to characterize frequencies How to count in binary How to use an MC14161 or CD40161BE counter

More information

Project Board Game Counter: Digital

Project Board Game Counter: Digital Project 1.3.3 Board Game Counter: Digital Introduction Just a few short weeks ago, most of you knew little or nothing about digital electronics. Now you are about to build and simulate a complete design.

More information

PHYSICS 536 Experiment 14: Basic Logic Circuits

PHYSICS 536 Experiment 14: Basic Logic Circuits PHYSICS 5 Experiment 4: Basic Logic Circuits Several T 2 L ICs will be used to illustrate basic logic functions. Their pin connections are shown in the following sketch, which is a top view. 4 2 9 8 +5V

More information

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC LOGIC Logic is a branch of math that tries to look at problems in terms of being either true or false. It will use a set of statements to derive new true

More information

Digital Circuits Laboratory LAB no. 12. REGISTERS

Digital Circuits Laboratory LAB no. 12. REGISTERS REGISTERS are sequential logic circuits that store and/or shift binary sequences. can be classified in: memory registers (with parallel load) - latch shift registers (with serial load) combined registers

More information

DIGITAL ELECTRONICS. Methods & diagrams : 1 Graph plotting : - Tables & analysis : - Questions & discussion : 6 Performance : 3

DIGITAL ELECTRONICS. Methods & diagrams : 1 Graph plotting : - Tables & analysis : - Questions & discussion : 6 Performance : 3 DIGITAL ELECTRONICS Marking scheme : Methods & diagrams : 1 Graph plotting : - Tables & analysis : - Questions & discussion : 6 Performance : 3 Aim: This experiment will investigate the function of the

More information

Practical Workbook Logic Design & Switching Theory

Practical Workbook Logic Design & Switching Theory Practical Workbook Logic Design & Switching Theory Name : Year : Batch : Roll No : Department: Second Edition Fall 2017-18 Dept. of Computer & Information Systems Engineering NED University of Engineering

More information

Analog-to-Digital Conversion

Analog-to-Digital Conversion CHEM 411L Instrumental Analysis Laboratory Revision 1.0 Analog-to-Digital Conversion In this laboratory exercise we will construct an Analog-to-Digital Converter (ADC) using the staircase technique. In

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

ELECTROVATE. Electromania Problem Statement Discussion

ELECTROVATE. Electromania Problem Statement Discussion ELECTROVATE Electromania Problem Statement Discussion An Competition Basic Circuiting What is Electromania? Innovation Debugging Lets Revise the Basics Electronics Digital Analog Digital Electronics Similar

More information

Exercise 1: AND/NAND Logic Functions

Exercise 1: AND/NAND Logic Functions Exercise 1: AND/NAND Logic Functions EXERCISE OBJECTIVE When you have completed this exercise, you will be able to determine the operation of an AND and a NAND logic gate. You will verify your results

More information

Lab 5. Binary Counter

Lab 5. Binary Counter Lab. Binary Counter Overview of this Session In this laboratory, you will learn: Continue to use the scope to characterize frequencies How to count in binary How to use an MC counter Introduction The TA

More information

Logic 0 Logic To provide an output load (or two) 5 Voltage Measurement Point V CC +5 74LS00 GND

Logic 0 Logic To provide an output load (or two) 5 Voltage Measurement Point V CC +5 74LS00 GND Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 - Introductory Digital Systems Laboratory Laboratory 1 Logic Analyzers, Digital Oscilloscopes, and

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

Lecture 02: Digital Logic Review

Lecture 02: Digital Logic Review CENG 3420 Lecture 02: Digital Logic Review Bei Yu byu@cse.cuhk.edu.hk CENG3420 L02 Digital Logic. 1 Spring 2017 Review: Major Components of a Computer CENG3420 L02 Digital Logic. 2 Spring 2017 Review:

More information

EXPERIMENT 12: DIGITAL LOGIC CIRCUITS

EXPERIMENT 12: DIGITAL LOGIC CIRCUITS EXPERIMENT 12: DIGITAL LOGIC CIRCUITS The purpose of this experiment is to gain some experience in the use of digital logic circuits. These circuits are used extensively in computers and all types of electronic

More information

Sequential Logic Circuits

Sequential Logic Circuits LAB EXERCISE - 5 Page 1 of 6 Exercise 5 Sequential Logic Circuits 1 - Introduction Goal of the exercise The goals of this exercise are: - verify the behavior of simple sequential logic circuits; - measure

More information

Experiment 5: Basic Digital Logic Circuits

Experiment 5: Basic Digital Logic Circuits ELEC 2010 Laboratory Manual Experiment 5 In-Lab Procedure Page 1 of 5 Experiment 5: Basic Digital Logic Circuits In-Lab Procedure and Report (30 points) Before starting the procedure, record the table

More information

Lab #10: Finite State Machine Design

Lab #10: Finite State Machine Design Lab #10: Finite State Machine Design Zack Mattis Lab: 3/2/17 Report: 3/14/17 Partner: Brendan Schuster Purpose In this lab, a finite state machine was designed and fully implemented onto a protoboard utilizing

More information

CONTENTS Sl. No. Experiment Page No

CONTENTS Sl. No. Experiment Page No CONTENTS Sl. No. Experiment Page No 1a Given a 4-variable logic expression, simplify it using Entered Variable Map and realize the simplified logic expression using 8:1 multiplexer IC. 2a 3a 4a 5a 6a 1b

More information

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER Final Project ANALOG TO DIGITAL CONVERTER As preparation for the laboratory, examine the final circuit diagram at the end of these notes and write a brief plan for the project, including a list of the

More information

Sequential Logic Circuits

Sequential Logic Circuits Exercise 2 Sequential Logic Circuits 1 - Introduction Goal of the exercise The goals of this exercise are: - verify the behavior of simple sequential logic circuits; - measure the dynamic parameters of

More information

Chapter 4: FLIP FLOPS. (Sequential Circuits) By: Siti Sabariah Hj. Salihin ELECTRICAL ENGINEERING DEPARTMENT EE 202 : DIGITAL ELECTRONICS 1

Chapter 4: FLIP FLOPS. (Sequential Circuits) By: Siti Sabariah Hj. Salihin ELECTRICAL ENGINEERING DEPARTMENT EE 202 : DIGITAL ELECTRONICS 1 Chapter 4: FLIP FLOPS (Sequential Circuits) By: Siti Sabariah Hj. Salihin ELECTRICAL ENGINEERING DEPARTMENT 1 CHAPTER 4 : FLIP FLOPS Programme Learning Outcomes, PLO Upon completion of the programme, graduates

More information

Lab Exercise 6: Digital/Analog conversion

Lab Exercise 6: Digital/Analog conversion Lab Exercise 6: Digital/Analog conversion Introduction In this lab exercise, you will study circuits for analog-to-digital and digital-to-analog conversion Preparation Before arriving at the lab, you should

More information

ENGR-4300 Electronic Instrumentation Quiz 3 Spring 2011 Name Section

ENGR-4300 Electronic Instrumentation Quiz 3 Spring 2011 Name Section ENGR-400 Electronic Instrumentation Quiz Spring 0 Name Section Question I (0 points) Question II (0 points) Question III (0 points) Question IV (0 points) Question V (0 points) Total (00 points) On all

More information

Laboratory Manual CS (P) Digital Systems Lab

Laboratory Manual CS (P) Digital Systems Lab Laboratory Manual CS 09 408 (P) Digital Systems Lab INDEX CYCLE I A. Familiarization of digital ICs and digital IC trainer kit 1 Verification of truth tables B. Study of combinational circuits 2. Verification

More information

DEPARTMENT OF ELECTRICAL ENGINEERING LAB WORK EE301 ELECTRONIC CIRCUITS

DEPARTMENT OF ELECTRICAL ENGINEERING LAB WORK EE301 ELECTRONIC CIRCUITS DEPARTMENT OF ELECTRICAL ENGINEERING LAB WORK EE301 ELECTRONIC CIRCUITS EXPERIMENT : 4 TITLE : 555 TIMERS OUTCOME : Upon completion of this unit, the student should be able to: 1. gain experience with

More information

74AC74B DUAL D-TYPE FLIP FLOP WITH PRESET AND CLEAR

74AC74B DUAL D-TYPE FLIP FLOP WITH PRESET AND CLEAR DUAL D-TYPE FLIP FLOP WITH PRESET AND CLEAR HIGH SPEED: f MAX = 300MHz (TYP.) at V CC = 5V LOW POWER DISSIPATION: I CC = 2µA(MAX.) at T A =25 C HIGH NOISE IMMUNITY: V NIH = V NIL = 28 % V CC (MIN.) 50Ω

More information

Adder Comparator 7 segment display Decoder for 7 segment display D flip flop Analysis of sequential circuits. Sequence detector

Adder Comparator 7 segment display Decoder for 7 segment display D flip flop Analysis of sequential circuits. Sequence detector Lecture 3 Adder Comparator 7 segment display Decoder for 7 segment display D flip flop Analysis of sequential circuits Counter Sequence detector TNGE11 Digitalteknik, Lecture 3 1 Adder TNGE11 Digitalteknik,

More information

Spec. Instructor: Center

Spec. Instructor: Center PDHonline Course E379 (5 PDH) Digital Logic Circuits Volume III Spec ial Logic Circuits Instructor: Lee Layton, P.E 2012 PDH Online PDH Center 5272 Meadow Estatess Drive Fairfax, VA 22030-6658 Phone &

More information

Draw in the space below a possible arrangement for the resistor and capacitor. encapsulated components

Draw in the space below a possible arrangement for the resistor and capacitor. encapsulated components 1). An encapsulated component is known to consist of a resistor and a capacitor. It has two input terminals and two output terminals. A 5V, 1kHz square wave signal is connected to the input terminals and

More information

1.) If a 3 input NOR gate has eight input possibilities, how many of those possibilities result in a HIGH output? (a.) 1 (b.) 2 (c.) 3 (d.) 7 (e.

1.) If a 3 input NOR gate has eight input possibilities, how many of those possibilities result in a HIGH output? (a.) 1 (b.) 2 (c.) 3 (d.) 7 (e. Name: Multiple Choice 1.) If a 3 input NOR gate has eight input possibilities, how many of those possibilities result in a HIGH output? (a.) 1 (b.) 2 (c.) 3 (d.) 7 (e.) 8 2.) The output of an OR gate with

More information

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 6/30/2008

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 6/30/2008 Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 6/3/28 6/3/28 Computer Engineering Basic Element for Sequential CircuitsSR Latch Latch Store one-bit information (two states of and ) Two inputs,

More information

ENGG1015: lab 3. Sequential Logic

ENGG1015: lab 3. Sequential Logic ENGG1015: lab 3 Sequential Logic 1 st Semester 2012-13 This lab explores the world of sequential logic design. By the end of this lab, you will have implemented a working prototype of a Ball ounter that

More information

54ACT11109, 74ACT11109 DUAL J-K POSITIVE-EDGE-TRIGGERED FLIP-FLOPS WITH CLEAR AND PRESET

54ACT11109, 74ACT11109 DUAL J-K POSITIVE-EDGE-TRIGGERED FLIP-FLOPS WITH CLEAR AND PRESET Inputs Are TTL-Voltage Compatible Flow-Through Architecture Optimizes PCB Layout Center-Pin V CC and GND Configuratio Minimize High-Speed Switching Noise EPIC (Enhanced-Performance Implanted CMOS) 1-µm

More information

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 7/11/2011

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 7/11/2011 Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 7//2 Ver. 72 7//2 Computer Engineering What is a Sequential Circuit? A circuit consists of a combinational logic circuit and internal memory

More information

Lecture 20: Several Commercial Counters & Shift Register

Lecture 20: Several Commercial Counters & Shift Register EE2: Switching Systems Lecture 2: Several Commercial Counters & Shift Register Prof. YingLi Tian Nov. 27, 27 Department of Electrical Engineering The City College of New York The City University of New

More information

Electronic Instrumentation

Electronic Instrumentation 5V 1 1 1 2 9 10 7 CL CLK LD TE PE CO 15 + 6 5 4 3 P4 P3 P2 P1 Q4 Q3 Q2 Q1 11 12 13 14 2-14161 Electronic Instrumentation Experiment 7 Digital Logic Devices and the 555 Timer Part A: Basic Logic Gates Part

More information

Police Siren Circuit using NE555 Timer

Police Siren Circuit using NE555 Timer Police Siren Circuit using NE555 Timer Multivibrator: Multivibrator discover their own space in lots of applications as they are among the most broadly used circuits. The application can be anyone either

More information

Brought to you by. Priti Srinivas Sajja. PS01CMCA02 Course Content. Tutorial Practice Material. Acknowldgement References. Website pritisajja.

Brought to you by. Priti Srinivas Sajja. PS01CMCA02 Course Content. Tutorial Practice Material. Acknowldgement References. Website pritisajja. Brought to you by Priti Srinivas Sajja PS01CMCA02 Course Content Tutorial Practice Material Acknowldgement References Website pritisajja.info Multiplexer Means many into one, also called data selector

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

CHAPTER FIVE - Flip-Flops and Related Devices

CHAPTER FIVE - Flip-Flops and Related Devices CHAPTER FIVE - Flip-Flops and Related Devices 5.1 5.2 Same Q output as 5.1. 5.3 5.4 57 5.5 One possibility: 5.6 The response shown would occur If the NAND latch is not working as a Flip-Flop. A permanent

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 UNIVERSITY OF BOLTON [EES04] SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 INTERMEDIATE DIGITAL ELECTRONICS AND COMMUNICATIONS MODULE NO: EEE5002

More information

Course Outline Cover Page

Course Outline Cover Page College of Micronesia FSM P.O. Box 159 Kolonia, Pohnpei Course Outline Cover Page Digital Electronics I VEE 135 Course Title Department and Number Course Description: This course provides the students

More information

LABORATORY 6 v3 TIME DOMAIN

LABORATORY 6 v3 TIME DOMAIN University of California Berkeley Department of Electrical Engineering and Computer Sciences EECS 100, Professor Bernhard Boser LABORATORY 6 v3 TIME DOMAIN Inductors and capacitors add a host of new circuit

More information

Due date: Sunday, November 8 (midnight) Reading: HH sections , (pgs , )

Due date: Sunday, November 8 (midnight) Reading: HH sections , (pgs , ) Logic Gates Due date: Sunday, November 8 (midnight) Reading: HH sections 8.0 8., 8.0 8. (pgs. 7 9, 7 ) The next few labs will deal with digital logic. In practice, you will probably find these circuits

More information

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these Objective Questions Module 1: Introduction 1. Which of the following is an analog quantity? (a) Light (b) Temperature (c) Sound (d) all of these 2. Which of the following is a digital quantity? (a) Electrical

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information

Lab 2 Revisited Exercise

Lab 2 Revisited Exercise Lab 2 Revisited Exercise +15V 100k 1K 2N2222 Wire up led display Note the ground leads LED orientation 6.091 IAP 2008 Lecture 3 1 Comparator, Oscillator +5 +15 1k 2 V- 7 6 Vin 3 V+ 4 V o Notice that power

More information

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI 6489 (Approved By AICTE,Newdelhi Affiliated To ANNA UNIVERSITY::Chennai) CS 62 DIGITAL ELECTRONICS LAB (REGULATION-23) LAB MANUAL DEPARTMENT OF

More information

M74HC74TTR DUAL D TYPE FLIP FLOP WITH PRESET AND CLEAR

M74HC74TTR DUAL D TYPE FLIP FLOP WITH PRESET AND CLEAR DUAL D TYPE FLIP FLOP WITH PRESET AND CLEAR HIGH SPEED : f MAX = 67MHz (TYP.) at V CC = 6V LOW POWER DISSIPATION: I CC =2µA(MAX.) at T A =25 C HIGH NOISE IMMUNITY: V NIH = V NIL = 28 % V CC (MIN.) SYMMETRICAL

More information

Keyword: Stop Watch, 555 Timer, logic gate IC, Multisim, PSPICE

Keyword: Stop Watch, 555 Timer, logic gate IC, Multisim, PSPICE The design and establishment of a multi-function Stop Watch Abstract This report intends to record the conduction process of the stopwatch project. The project requirement was to build a digital clock

More information

BINARY AMPLITUDE SHIFT KEYING

BINARY AMPLITUDE SHIFT KEYING BINARY AMPLITUDE SHIFT KEYING AIM: To set up a circuit to generate Binary Amplitude Shift keying and to plot the output waveforms. COMPONENTS AND EQUIPMENTS REQUIRED: IC CD4016, IC 7474, Resistors, Zener

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

Schmitt Trigger Inputs, Decoders

Schmitt Trigger Inputs, Decoders Schmitt Trigger, Decoders Page 1 Schmitt Trigger Inputs, Decoders TTL Switching In this lab we study the switching of TTL devices. To do that we begin with a source that is unusual for logic circuits,

More information

ICS 151 Final. (Last Name) (First Name)

ICS 151 Final. (Last Name) (First Name) ICS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 19 pages including this cover and 3 blank pages. 2. Write down your Student-Id

More information

EE2304 Implementation of a Stepper Motor using CMOS Devices Fall 2004 WEEK -2-

EE2304 Implementation of a Stepper Motor using CMOS Devices Fall 2004 WEEK -2- WEEK -2-1. Objective Design a controller for a stepper motor that will be capable of: Making the motor rotate with variable speed (the user should be able to adjust the rotational speed easily and without

More information

TapTation. General Datasheet and Application Note Rev. v1.0. Applications:

TapTation. General Datasheet and Application Note Rev. v1.0. Applications: TapTation General Datasheet and Application Note Rev. v1.0 Description: Features: TapTation is a tap tempo controller which produces various time related outputs based on input data from various sources.

More information

Design and build a prototype digital motor controller with the following features:

Design and build a prototype digital motor controller with the following features: Nov 3, 26 Project Digital Motor Controller Tom Kovacsi Andrew Rossbach Arnold Stadlin Start: Nov 7, 26 Project Scope Design and build a prototype digital motor controller with the following features:.

More information

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories.

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories. Logic Families Characterizing Digital ICs Digital ICs characterized several ways Circuit Complexity Gives measure of number of transistors or gates Within single package Four general categories SSI - Small

More information

Solutions. ICS 151 Final. Q1 Q2 Q3 Q4 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature

Solutions. ICS 151 Final. Q1 Q2 Q3 Q4 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature ICS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 19 pages including this cover and 3 blank pages. 2. Write down your Student-Id

More information

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1 LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM K-Map for SUM: K-Map for CARRY: SUM = A B + AB CARRY = AB 22/ODD/III/ECE/DE/LM Page No. EXPT NO: DATE : DESIGN OF ADDER AND SUBTRACTOR AIM: To design

More information

Exercise 1: Circuit Block Familiarization

Exercise 1: Circuit Block Familiarization Exercise 1: Circuit Block Familiarization EXERCISE OBJECTIVE When you have completed this exercise, you will be able to locate and identify the circuit blocks and components on the DIGITAL LOGIC FUNDAMENTALS

More information

Aim. Lecture 1: Overview Digital Concepts. Objectives. 15 Lectures

Aim. Lecture 1: Overview Digital Concepts. Objectives. 15 Lectures Aim Lecture 1: Overview Digital Concepts to give a first course in digital electronics providing you with both the knowledge and skills required to design simple digital circuits and preparing you for

More information

Electronics. Digital Electronics

Electronics. Digital Electronics Electronics Digital Electronics Introduction Unlike a linear, or analogue circuit which contains signals that are constantly changing from one value to another, such as amplitude or frequency, digital

More information

16 Multiplexers and De-multiplexers using gates and ICs. (74150, 74154)

16 Multiplexers and De-multiplexers using gates and ICs. (74150, 74154) 16 Multiplexers and De-multiplexers using gates and ICs. (74150, 74154) Aim: To design multiplexers and De-multiplexers using gates and ICs. (74150, 74154) Components required: Digital IC Trainer kit,

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC0 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC0 74HC/HCT/HCU/HCMOS Logic Package Information The IC0 74HC/HCT/HCU/HCMOS

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

Digital Logic Circuits

Digital Logic Circuits Digital Logic Circuits Let s look at the essential features of digital logic circuits, which are at the heart of digital computers. Learning Objectives Understand the concepts of analog and digital signals

More information

Winter 14 EXAMINATION Subject Code: Model Answer P a g e 1/28

Winter 14 EXAMINATION Subject Code: Model Answer P a g e 1/28 Subject Code: 17333 Model Answer P a g e 1/28 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

TC74ACT74P,TC74ACT74F,TC74ACT74FN,TC74ACT74FT

TC74ACT74P,TC74ACT74F,TC74ACT74FN,TC74ACT74FT TOSHIBA CMOS Digital Integrated Circuit Silicon Monolithic TC74ACT74P/F/FN/FT TC74ACT74P,TC74ACT74F,TC74ACT74FN,TC74ACT74FT Dual D-Type Flip Flop with Preset and Clear The TC74ACT74 is an advanced high

More information

EE283 Electrical Measurement Laboratory Laboratory Exercise #7: Digital Counter

EE283 Electrical Measurement Laboratory Laboratory Exercise #7: Digital Counter EE283 Electrical Measurement Laboratory Laboratory Exercise #7: al Counter Objectives: 1. To familiarize students with sequential digital circuits. 2. To show how digital devices can be used for measurement

More information

IES Digital Mock Test

IES Digital Mock Test . The circuit given below work as IES Digital Mock Test - 4 Logic A B C x y z (a) Binary to Gray code converter (c) Binary to ECESS- converter (b) Gray code to Binary converter (d) ECESS- To Gray code

More information

Written exam IE1204/5 Digital Design Friday 13/

Written exam IE1204/5 Digital Design Friday 13/ Written exam IE204/5 Digital Design Friday 3/ 207 08.00-2.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandqvist tel 08-7904487 Teacher: Valhallavägen, Ahmed Hemani 08-7904469

More information

Number system: the system used to count discrete units is called number. Decimal system: the number system that contains 10 distinguished

Number system: the system used to count discrete units is called number. Decimal system: the number system that contains 10 distinguished Number system: the system used to count discrete units is called number system Decimal system: the number system that contains 10 distinguished symbols that is 0-9 or digits is called decimal system. As

More information

Linear Integrated Circuits

Linear Integrated Circuits Linear Integrated Circuits Single Slope ADC Comparator checks input voltage with integrated reference voltage, V REF At the same time the number of clock cycles is being counted. When the integrator output

More information

HIGH LOW Astable multivibrators HIGH LOW 1:1

HIGH LOW Astable multivibrators HIGH LOW 1:1 1. Multivibrators A multivibrator circuit oscillates between a HIGH state and a LOW state producing a continuous output. Astable multivibrators generally have an even 50% duty cycle, that is that 50% of

More information

Multiplexer for Capacitive sensors

Multiplexer for Capacitive sensors DATASHEET Multiplexer for Capacitive sensors Multiplexer for Capacitive Sensors page 1/7 Features Very well suited for multiple-capacitance measurement Low-cost CMOS Low output impedance Rail-to-rail digital

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

EECS-140/141 Introduction to Digital Logic Design Lecture 7:Sequential Logic Basics

EECS-140/141 Introduction to Digital Logic Design Lecture 7:Sequential Logic Basics EECS-140/141 Introduction to Digital Logic Design Lecture 7:Sequential Logic Basics I. OVERVIEW I.A Combinational vs. Sequential Logic Combinational Logic (everything so far): Outputs depend entirely on

More information

ASTABLE MULTIVIBRATOR

ASTABLE MULTIVIBRATOR 555 TIMER ASTABLE MULTIIBRATOR MONOSTABLE MULTIIBRATOR 555 TIMER PHYSICS (LAB MANUAL) PHYSICS (LAB MANUAL) 555 TIMER Introduction The 555 timer is an integrated circuit (chip) implementing a variety of

More information

NOTE: The Flatpak version has the same pinouts (Connection Diagram) as the Dual In-Line Package.

NOTE: The Flatpak version has the same pinouts (Connection Diagram) as the Dual In-Line Package. DECADE COUNTER; 4-BIT BINARY COUNTER The SN54/ and SN54/ are high-speed 4-bit ripple type counters partitioned into two sectio. Each counter has a divide-by-two section and either a divide-by-five () or

More information

EXPERIMENT NO 1 TRUTH TABLE (1)

EXPERIMENT NO 1 TRUTH TABLE (1) EPERIMENT NO AIM: To verify the Demorgan s theorems. APPARATUS REQUIRED: THEORY: Digital logic trainer and Patch cords. The digital signals are discrete in nature and can only assume one of the two values

More information

D f ref. Low V dd (~ 1.8V) f in = D f ref

D f ref. Low V dd (~ 1.8V) f in = D f ref A 5.3 GHz Programmable Divider for HiPerLAN in 0.25µm CMOS N. Krishnapura 1 & P. Kinget 2 Lucent Technologies, Bell Laboratories, USA. 1 Currently at Columbia University, New York, NY, 10027, USA. 2 Currently

More information

EC4205 Microprocessor and Microcontroller

EC4205 Microprocessor and Microcontroller EC4205 Microprocessor and Microcontroller Webcast link: https://sites.google.com/a/bitmesra.ac.in/aminulislam/home All announcement made through webpage: check back often Students are welcome outside the

More information

M74HCT174TTR HEX D-TYPE FLIP FLOP WITH CLEAR

M74HCT174TTR HEX D-TYPE FLIP FLOP WITH CLEAR HEX D-TYPE FLIP FLOP WITH CLEAR HIGH SPEED : f MAX = 56MHz (TYP.) at V CC = 4.5V LOW POWER DISSIPATION: I CC =4µA(MAX.) at T A =25 C COMPATIBLE WITH TTL OUTPUTS : V IH = 2V (MIN.) V IL = 0.8V (MAX) SYMMETRICAL

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

MAINTENANCE MANUAL 1B170K17 FOUR SHOT AUTO RECLOSE RELAY

MAINTENANCE MANUAL 1B170K17 FOUR SHOT AUTO RECLOSE RELAY Sheet 1 of 9 MAINTENANCE MANUAL 1B170K17 FOUR SHOT AUTO RECLOSE RELAY The Maintenance Manual is to be read in conunction with Product/Test Manual Sheet 2 of 9 INDEX 1. FULL DESCRIPTION OF OPERATION 1.1

More information

JEFFERSON COLLEGE COURSE SYLLABUS ETC255 INTRODUCTION TO DIGITAL CIRCUITS. 6 Credit Hours. Prepared by: Dennis Eimer

JEFFERSON COLLEGE COURSE SYLLABUS ETC255 INTRODUCTION TO DIGITAL CIRCUITS. 6 Credit Hours. Prepared by: Dennis Eimer JEFFERSON COLLEGE COURSE SYLLABUS ETC255 INTRODUCTION TO DIGITAL CIRCUITS 6 Credit Hours Prepared by: Dennis Eimer Revised Date: August, 2007 By Dennis Eimer Division of Technology Dr. John Keck, Dean

More information

Introduction. BME208 Logic Circuits Yalçın İŞLER

Introduction. BME208 Logic Circuits Yalçın İŞLER Introduction BME208 Logic Circuits Yalçın İŞLER islerya@yahoo.com http://me.islerya.com 1 Lecture Three hours a week (three credits) No other sections, please register this section Tuesday: 09:30 12:15

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R05310402 Set No. 1 1. (a) What are the parameters that are necessary to define the electrical characteristics of CMOS circuits? Mention the typical values of a CMOS NAND gate. (b) Design a CMOS

More information

Project 3 Build a 555-Timer

Project 3 Build a 555-Timer Project 3 Build a 555-Timer For this project, each group will simulate and build an astable multivibrator. However, instead of using the 555 timer chip, you will have to use the devices you learned about

More information

D LATCH. SuperLite SY55853U FEATURES DESCRIPTION FUNCTIONAL BLOCK DIAGRAM APPLICATIONS

D LATCH. SuperLite SY55853U FEATURES DESCRIPTION FUNCTIONAL BLOCK DIAGRAM APPLICATIONS D LATCH FEATURES 2.5GHz min f max 2.3V to 5.7V power supply Single bit latch Stores or flows through 1 bit of data Optimized to work with family Fully differential Source terminated CML outputs for fast

More information

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM LIST OF EXPERIMENTS. Study of logic gates. 2. Design and implementation of adders and subtractors using logic gates. 3. Design and implementation of code converters using logic gates. 4. Design and implementation

More information

LS7362 BRUSHLESS DC MOTOR COMMUTATOR / CONTROLLER

LS7362 BRUSHLESS DC MOTOR COMMUTATOR / CONTROLLER LS7362 BRUSHLESS DC MOTOR COMMUTATOR / CONTROLLER FEATURES: Speed control by Pulse Width Modulating (PWM) only the low-side drivers reduces switching losses in level converter circuitry for high voltage

More information

LM555 and LM556 Timer Circuits

LM555 and LM556 Timer Circuits LM555 and LM556 Timer Circuits LM555 TIMER INTERNAL CIRCUIT BLOCK DIAGRAM "RESET" And "CONTROL" Input Terminal Notes Most of the circuits at this web site that use the LM555 and LM556 timer chips do not

More information