UNIVERSITI MALAYSIA PERLIS

Size: px
Start display at page:

Download "UNIVERSITI MALAYSIA PERLIS"

Transcription

1 UNIVERSITI MALAYSIA PERLIS SCHOOL OF COMPUTER & COMMUNICATIONS ENGINEERING EKT303/4 PRINCIPLES OF COMPUTER ARCHITECTURE LAB 5 : STATE MACHINE DESIGNS IN VHDL

2 LAB 5: Finite State Machine Design OUTCOME: 1) Ability to identify the sequential operation techniques 2) Ability to describe the operation of sequential synthesis 3) Ability to demonstrate the use of architecture types 4) Ability to develop a simple VHDL program in Altera Quartus II software INTRODUCTION Finite State Machines (FSMs) represent an important part of design of almost any more complex digital system. There are used to sequence specific operations, control other logic circuits and provide synchronization of different parts of more complex circuit. FSM is a circuit that is designed to sequence through specific patterns of states in a predetermined manner. The basic idea of an FSM is to store a sequence of different unique states and transition between them depending on the values of the inputs and the current state of the machine. A state is represented by a binary value held on a current state register and clock by a free source. There are two types of FSMs as listed below : 1. Moore machine where the output(s) of the system depend from the current state; and 2. Mealy machine where the output(s) of the system depend from the current state and the input(s) of the system The general structure of an FSM can be presented in Figure 5.1 below. ( Mealy Only ) clk Present State Output (Comb Logic) Output(s) Register Input(s) Next State (Comb Logic) Figure 5.1 : FSM building blocks 1

3 To describe an FSM in VHDL, the states must be enumerated and two processes or more must be involved inside the architecture. The most common behavioral statement used for an FSM to represent the states are the if-elsif-else statements inside a Case statement (sequential staements in a sequential staement). The assignment enumeration process for the states into binary values can basically be seen as the following example : Type states is std_logic_vector(1 downto 0); Constant red : states := 00 ; Constant yellow : states := 01 ; Constant green : states := 10 ; Signal present_state, next_state : states; But can replaced with Type states is (red,yellow,green); Signal present_state, next_state : state; An example of a Moore machine is shown in figure 5.2. An example of a Mealy machine is shown in figure 5.3. Write the following VHDL programs in Altera Quartus II and simulate the result and make comparisons. 2

4 -- Figure Example of a simple Moore type FSM LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY moore_fsm IS PORT( Clk,P : IN STD_LOGIC; R : OUT STD_LOGIC); END moore_fsm; ARCHITECTURE beh OF moore_fsm IS SIGNAL State : STD_LOGIC_VECTOR (1 DownTo 0); CONSTANT A: STD_LOGIC_VECTOR (1 DownTo 0) := "00"; CONSTANT B: STD_LOGIC_VECTOR (1 DownTo 0) := "01"; CONSTANT C: STD_LOGIC_VECTOR (1 DownTo 0) := "10"; CONSTANT D: STD_LOGIC_VECTOR (1 DownTo 0) := "11"; PROCESS (Clk) IF Clk'EVENT AND Clk='1' THEN CASE State IS WHEN A => State <= B; ELSE State <= A; WHEN B => State <= C; WHEN C => State <= D; WHEN D=> State <= B; ELSE State <= A; END CASE; END PROCESS; END beh; R <= '1' WHEN State=D ELSE '0'; 3

5 -- Figure Example of a simple Mealy type FSM LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mealy_fsm IS PORT( Clk,P : IN STD_LOGIC; R : OUT STD_LOGIC); END mealy_fsm; ARCHITECTURE beh OF mealy_fsm IS SIGNAL State : STD_LOGIC_VECTOR (1 DownTo 0); CONSTANT A: STD_LOGIC_VECTOR (1 DownTo 0) := "00"; CONSTANT B: STD_LOGIC_VECTOR (1 DownTo 0) := "01"; CONSTANT C: STD_LOGIC_VECTOR (1 DownTo 0) := "10"; PROCESS (Clk, P) IF Clk'EVENT AND Clk='1' THEN CASE State IS WHEN A => State <= B; WHEN B => State <= C; WHEN C => State <= A; END CASE; END PROCESS; END beh; R <= '1' WHEN (State=C AND P='1') ELSE '0'; 4

6 EXERCISE Question 1 A certain control system will assert every time it detects the data value 1 twice in a row from a string of input data. Using the waveform in figure 5.4 below, design the 1. Moore state machine diagram and VHDL coding 2. Mealy state machine diagram and VHDL coding Figure 5.4 : Question 1 waveform parameter Use the given input waveforms to simulate both your state machines and compare. Question 2 A busy street in Kangar will soon be having a pedestrian crossing system to help overcome the crossing problem for pedestrians due to heavy traffic. This pedestrian crossing system will have 2 types of traffic light, one for the vehicles on the road and the other for the pedestrians. A counter will be allocated for the pedestrians to gauge the time given to cross the street. Figure 5.5 below briefly illustrates the system. RED-GREEN traffic light with counter for pedestrian lane. Traffic Light B Yellow push-button for pedestrians who wishes to cross. Traffic Light A RED-YELLOW-GREEN traffic light for vehicle lane. Figure 5.5 : Illustration of a pedestrian crossing system. 5

7 Operation Basically, the pedestrian crossing system will allow vehicles to pass through the street. Now, lets assume the traffic light for the vehicle lane as A-traffic-light, and the pedestrian traffic light as B-traffic-light. Thus, the primary function is to let the cars flow through when there are no people to cross by giving A-traffic-light the GREEN light (AG) while the pedestrian B-traffic-light is RED (BR). The system will change when someone pushes the yellow button and after a clock cycle, will force A-trafficlight to turn YELLOW (AY). The Yellow light will stay for another clock cycle before A-traffic-light goes RED (AR) and B-traffic-light goes GREEN (BG). At the same time, the pedestrian display will begin to display the countdown period to cross. This pedestrian crossing system is controlled by a sequential state mechanism system. Your task is to create a simple controller system that would operate this pedestrian system process. Construct your controller system using the Moore Modelling approach. Possible states required to control the sequence are as follows : State Output condition State Change Condition A traffic light = Green State A B traffic light = Red Change of state depends on Awaiting push button to be pressed before push button. moving to State B State B A traffic light = Yellow B traffic light = Red - State C Continue to State C A traffic light = Red B traffic light = Green Counter is on Awaiting counter to finish before moving to State A. Table 5.1 : State Transition Table Change of state depends on end of count. Important Note 1. Each state change is assumed to have a clock frequency of approximately 1.0Hz. 2. State transition between A B depends on a toggle switch. 3. State transition between B C is only one clock cycle. 4. State transition between C A occur after countdown reaches 0. Assume the countdown is 5 or 7 seconds. Display the countdown on the 7 segment LEDs. Generate and simulate the VHDL codes in Altera Quartus II for the above traffic light exercise. 6

Ring Counter. 4-bit Ring Counter using D FlipFlop. VHDL Code for 4-bit Ring Counter and Johnson Counter 1. Contents

Ring Counter. 4-bit Ring Counter using D FlipFlop. VHDL Code for 4-bit Ring Counter and Johnson Counter 1. Contents VHDL Code for 4-bit Ring Counter and Johnson Counter 1 Contents 1 Ring Counter 2 4-bit Ring Counter using D FlipFlop 3 Ring Counter Truth Table 4 VHDL Code for 4 bit Ring Counter 5 VHDL Testbench for 4

More information

Four-Way Traffic Light Controller Designing with VHDL

Four-Way Traffic Light Controller Designing with VHDL Four-Way Traffic Light Controller Designing with VHDL Faizan Mansuri Email:11bec024@nirmauni.ac.in Viraj Panchal Email:11bec047@nirmauni.ac.in Department of Electronics and Communication,Institute of Technology,

More information

EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII

EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII TITLE: VHDL IMPLEMENTATION OF ALGORITHMIC STATE MACHINES OBJECTIVES: VHDL implementation

More information

Types of Control. Programmed Non-programmed. Program Counter Hardwired

Types of Control. Programmed Non-programmed. Program Counter Hardwired Lecture #5 In this lecture we will introduce the sequential circuits. We will overview various Latches and Flip Flops (30 min) Give Sequential Circuits design concept Go over several examples as time permits

More information

Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL

Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL Digital Circuits II Lecture 6 Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL References (Text Book): 1) Digital Electronics, 9 th editon,

More information

CHAPTER FIVE - Flip-Flops and Related Devices

CHAPTER FIVE - Flip-Flops and Related Devices CHAPTER FIVE - Flip-Flops and Related Devices 5.1 5.2 Same Q output as 5.1. 5.3 5.4 57 5.5 One possibility: 5.6 The response shown would occur If the NAND latch is not working as a Flip-Flop. A permanent

More information

A-PDF Split DEMO : Purchase from to remove the watermark 114 FSM

A-PDF Split DEMO : Purchase from   to remove the watermark 114 FSM A-PDF Split DEMO : Purchase from www.a-pdf.com to remove the watermark 114 FSM Xilinx specific Xilinx ISE includes a utility program called StateCAD, which allows a user to draw a state diagram in graphical

More information

Topics. FPGA Design EECE 277. Combinational Logic Blocks. From Last Time. Multiplication. Dr. William H. Robinson February 25, 2005

Topics. FPGA Design EECE 277. Combinational Logic Blocks. From Last Time. Multiplication. Dr. William H. Robinson February 25, 2005 FPGA Design EECE 277 Combinational Logic Blocks Dr. William H. Robinson Februar5, 25 http://eecs.vanderbilt.edu/courses/eece277/ Topics Computer, compute to the last digit the value o pi. Mr. Spock (Star

More information

CS/EE Homework 9 Solutions

CS/EE Homework 9 Solutions S/EE 260 - Homework 9 Solutions ue 4/6/2000 1. onsider the synchronous ripple carry counter on page 5-8 of the notes. Assume that the flip flops have a setup time requirement of 2 ns and that the gates

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

Arria V Timing Optimization Guidelines

Arria V Timing Optimization Guidelines Arria V Timing Optimization Guidelines AN-652-1. Application Note This document presents timing optimization guidelines for a set of identified critical timing path scenarios in Arria V FPGA designs. Timing

More information

Written exam IE1204/5 Digital Design Friday 13/

Written exam IE1204/5 Digital Design Friday 13/ Written exam IE204/5 Digital Design Friday 3/ 207 08.00-2.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandqvist tel 08-7904487 Teacher: Valhallavägen, Ahmed Hemani 08-7904469

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-378: Computer Hardware esign Winter 26 SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted

More information

DIGITAL DESIGN WITH SM CHARTS

DIGITAL DESIGN WITH SM CHARTS DIGITAL DESIGN WITH SM CHARTS By: Dr K S Gurumurthy, UVCE, Bangalore e-notes for the lectures VTU EDUSAT Programme Dr. K S Gurumurthy, UVCE, Blore Page 1 19/04/2005 DIGITAL DESIGN WITH SM CHARTS The utility

More information

Daisy II. By: Steve Rothen EEL5666 Spring 2002

Daisy II. By: Steve Rothen EEL5666 Spring 2002 Daisy II By: Steve Rothen EEL5666 Spring 2002 Table of Contents Abstract. 3 Executive Summary. 4 Introduction.. 4 Integrated System 5 Mobile Platform... 8 Actuation....9 Sensors.. 10 Behaviors.. 13 Experimental

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-27: igital Logic esign Fall 27 SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted SR Latch S R S R SR LATCH WITH NABL: R R' S R t+ t t+ t t t S S' LATCH WITH NABL: This is

More information

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 5

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 5 IGITAL LOGIC WITH VHL (Fall 2013) Unit 5 SEUENTIAL CIRCUITS Asynchronous sequential circuits: Latches Synchronous circuits: flip flops, counters, registers. COMBINATORIAL CIRCUITS In combinatorial circuits,

More information

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions CSE 260 Digital Computers: Organization and Logical Design Midterm Solutions Jon Turner 2/28/2008 1. (10 points). The figure below shows a simulation of the washu-1 processor, with some items blanked out.

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-27: igital Logic esign Winter 28 SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted

More information

First Name: Last Name: Lab Cover Page. Teaching Assistant to whom you are submitting

First Name: Last Name: Lab Cover Page. Teaching Assistant to whom you are submitting Student Information First Name School of Computer Science Faculty of Engineering and Computer Science Last Name Student ID Number Lab Cover Page Please complete all (empty) fields: Course Name: DIGITAL

More information

Configuring CorePWM Using RTL Blocks

Configuring CorePWM Using RTL Blocks Application Note AC284 Introduction This application note describes the configuration of CorePWM using custom RTL blocks. A design example is provided to illustrate how a simple finite state machine (FSM)

More information

Chapter 9. sequential logic technologies

Chapter 9. sequential logic technologies Chapter 9. sequential logic technologies In chapter 4, we looked at diverse implementation technologies for combinational logic circuits: random logic, regular logic, programmable logic. The similar variants

More information

Lab #10: Finite State Machine Design

Lab #10: Finite State Machine Design Lab #10: Finite State Machine Design Zack Mattis Lab: 3/2/17 Report: 3/14/17 Partner: Brendan Schuster Purpose In this lab, a finite state machine was designed and fully implemented onto a protoboard utilizing

More information

Chapter 9. sequential logic technologies

Chapter 9. sequential logic technologies Chapter 9. sequential logic technologies In chapter 4, we looked at diverse implementation technologies for combinational logic circuits: random logic, regular logic, programmable logic. Similarly, variations

More information

CSE 260 Digital Computers: Organization and Logical Design. Lab 4. Jon Turner Due 3/27/2012

CSE 260 Digital Computers: Organization and Logical Design. Lab 4. Jon Turner Due 3/27/2012 CSE 260 Digital Computers: Organization and Logical Design Lab 4 Jon Turner Due 3/27/2012 Recall and follow the General notes from lab1. In this lab, you will be designing a circuit that implements the

More information

6.111 Lecture # 19. Controlling Position. Some General Features of Servos: Servomechanisms are of this form:

6.111 Lecture # 19. Controlling Position. Some General Features of Servos: Servomechanisms are of this form: 6.111 Lecture # 19 Controlling Position Servomechanisms are of this form: Some General Features of Servos: They are feedback circuits Natural frequencies are 'zeros' of 1+G(s)H(s) System is unstable if

More information

Synthesis Minimizations and Mesh Algorithm Selection: An Extension of the Ultrasonic 3D Camera

Synthesis Minimizations and Mesh Algorithm Selection: An Extension of the Ultrasonic 3D Camera Syracuse University SURFACE Syracuse University Honors Program Capstone Projects Syracuse University Honors Program Capstone Projects Spring 5-1-2009 Synthesis Minimizations and Mesh Algorithm Selection:

More information

Lab 1.1 PWM Hardware Design

Lab 1.1 PWM Hardware Design Lab 1.1 PWM Hardware Design Lab 1.0 PWM Control Software (recap) In lab 1.0, you learnt the core concepts needed to understand and interact with simple systems. The key takeaways were the following: Hardware

More information

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 7/11/2011

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 7/11/2011 Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 7//2 Ver. 72 7//2 Computer Engineering What is a Sequential Circuit? A circuit consists of a combinational logic circuit and internal memory

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015 Field Programmable Gate Array Based Intelligent Traffic Light System Agho Osarenomase, Faisal Sani Bala, Ganiyu Bakare Department of Electrical and Electronics Engineering, Faculty of Engineering, Abubakar

More information

ICS 151 Final. (Last Name) (First Name)

ICS 151 Final. (Last Name) (First Name) ICS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 19 pages including this cover and 3 blank pages. 2. Write down your Student-Id

More information

Solutions. ICS 151 Final. Q1 Q2 Q3 Q4 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature

Solutions. ICS 151 Final. Q1 Q2 Q3 Q4 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature ICS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 19 pages including this cover and 3 blank pages. 2. Write down your Student-Id

More information

Computer Architecture Laboratory

Computer Architecture Laboratory 304-487 Computer rchitecture Laboratory ssignment #2: Harmonic Frequency ynthesizer and FK Modulator Introduction In this assignment, you are going to implement two designs in VHDL. The first design involves

More information

SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS)

SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS) SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS) Recognized by AICTE, NBA, NAAC and Govt. of A.P. Affiliated by J.N.T.U.A., ANANTAPUR R.V.S. Nagar, Tirupati Road, CHITTOOR- 517127 DEPARTMENT

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

Finite State Machines CS 64: Computer Organization and Design Logic Lecture #16

Finite State Machines CS 64: Computer Organization and Design Logic Lecture #16 Finite State Machines CS 64: Computer Organization and Design Logic Lecture #16 Ziad Matni Dept. of Computer Science, UCSB Lecture Outline Review of Latches vs. FFs Finite State Machines Moore vs. Mealy

More information

HW D2: Sequential Logic, Counters, Debounce

HW D2: Sequential Logic, Counters, Debounce HW D2: Sequential Logic, Counters, Debounce 1 HW D2: Sequential Logic, Counters, Debounce REV 3; July 18, 2010 Contents 1 Flop Reminder: edge recorder (2 points) 1 2 Debouncers (4 points) 2 2.1 SPST (2

More information

Topic 1. Road safety rules. Projects: 1. Robo drives safely - page Robo is a traffic light - - page 6-10 Robo is a smart traffic light

Topic 1. Road safety rules. Projects: 1. Robo drives safely - page Robo is a traffic light - - page 6-10 Robo is a smart traffic light Topic 1. Road safety rules. Road safety is an important topic for young students because everyone uses roads, and the dangers associated with the roads impact everyone. Robo Wunderkind robotics kits help

More information

UNIT-III ASYNCHRONOUS SEQUENTIAL CIRCUITS TWO MARKS 1. What are secondary variables? -present state variables in asynchronous sequential circuits 2. What are excitation variables? -next state variables

More information

Controller Implementation--Part I. Cascading Edge-triggered Flip-Flops

Controller Implementation--Part I. Cascading Edge-triggered Flip-Flops Controller Implementation--Part I Alternative controller FSM implementation approaches based on: Classical Moore and Mealy machines Time state: Divide and Counter Jump counters Microprogramming (ROM) based

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

Hardware Design with VHDL Design Example: UART ECE 443

Hardware Design with VHDL Design Example: UART ECE 443 UART Universal Asynchronous Receiver and Transmitter A serial communication protocol that sends parallel data through a serial line. Typically used with RS-232 standard. Your FPGA boards have an RS-232

More information

Learning Outcomes. Spiral 2 3. DeMorgan Equivalents NEGATIVE (ACTIVE LO) LOGIC. Negative Logic One hot State Assignment System Design Examples

Learning Outcomes. Spiral 2 3. DeMorgan Equivalents NEGATIVE (ACTIVE LO) LOGIC. Negative Logic One hot State Assignment System Design Examples 2-3. Learning Outcomes 2-3.2 Spiral 2 3 Negative Logic One hot State Assignment System Design Examples I understand the active low signal convention and how to interface circuits that use both active high

More information

The Audio Synthesizer

The Audio Synthesizer The Audio Synthesizer Lab Summary In this laboratory, you will construct an audio synthesizer. The synthesizer generates signals for various tones that you will use for your Simon push buttons and win/lose

More information

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Steven W. Cox Joel A. Seely General Dynamics C4 Systems Altera Corporation 820 E. McDowell Road, MDR25 0 Innovation Dr Scottsdale, Arizona

More information

EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2. ELEC 3004/7312: Signals Systems & Controls EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2

EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2. ELEC 3004/7312: Signals Systems & Controls EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2 ELEC 3004/7312: Signals Systems & Controls Aims In this laboratory session you will: 1. Gain familiarity with the workings of the Digilent Nexys 2 for DSP applications; 2. Have a first look at the Xilinx

More information

CHAPTER 16 SEQUENTIAL CIRCUIT DESIGN. Click the mouse to move to the next page. Use the ESC key to exit this chapter.

CHAPTER 16 SEQUENTIAL CIRCUIT DESIGN. Click the mouse to move to the next page. Use the ESC key to exit this chapter. CHPTER 6 SEQUENTIL CIRCUIT DESIGN Click the mouse to move to the next page. Use the ESC key to exit this chapter. Contents 6. Summary of Design Procedure for Sequential Circuits 6.2 Design ExampleCode

More information

ENGG1015: lab 3. Sequential Logic

ENGG1015: lab 3. Sequential Logic ENGG1015: lab 3 Sequential Logic 1 st Semester 2012-13 This lab explores the world of sequential logic design. By the end of this lab, you will have implemented a working prototype of a Ball ounter that

More information

Stage report Spark Generator Board & Path Finder Robot

Stage report Spark Generator Board & Path Finder Robot Institut Universitaire Technologique Calais-Boulogne Département Génie Electrique et Informatique Industrielle Stage report Spark Generator Board & Path Finder Robot Stage from 14 April to 19 June 1998

More information

Chapter # 1: Introduction

Chapter # 1: Introduction Chapter # : Randy H. Katz University of California, erkeley May 993 ฉ R.H. Katz Transparency No. - The Elements of Modern Design Representations, Circuit Technologies, Rapid Prototyping ehaviors locks

More information

Exercise 3: Serial Interface (RS232)

Exercise 3: Serial Interface (RS232) Exercise 3: Serial Interface (RS232) G. Kemnitz, TU Clausthal, Institute of Computer Science May 23, 2012 Abstract A working circuit design for the receiver of a serial interface is given. It has to be

More information

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 6/30/2008

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 6/30/2008 Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 6/3/28 6/3/28 Computer Engineering Basic Element for Sequential CircuitsSR Latch Latch Store one-bit information (two states of and ) Two inputs,

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

Logic 0 Logic To provide an output load (or two) 5 Voltage Measurement Point V CC +5 74LS00 GND

Logic 0 Logic To provide an output load (or two) 5 Voltage Measurement Point V CC +5 74LS00 GND Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 - Introductory Digital Systems Laboratory Laboratory 1 Logic Analyzers, Digital Oscilloscopes, and

More information

EE 307 Project #1 Whac-A-Mole

EE 307 Project #1 Whac-A-Mole EE 307 Project #1 Whac-A-Mole Performed 10/25/2008 to 11/04/2008 Report finished 11/09/2008 John Tooker Chenxi Liu Abstract: In this project, we made a digital circuit that operates Whac-A-Mole game. Quartus

More information

ISSN Vol.05, Issue.07, July-2017, Pages:

ISSN Vol.05, Issue.07, July-2017, Pages: ISSN 2322-0929 Vol.05, Issue.07, July-2017, Pages:0657-0661 www.ijvdcs.org An Advanced Traffic Light Controller using Verilog HDL T. BALA OBULA REDDY 1, V. SOWMYA 2 1 PG Scholar, Dept of ECE(VLSI), SRIT,

More information

Senior Capstone Project Proposal Reconfigurable FPGA Implementation Of Digital Communication System

Senior Capstone Project Proposal Reconfigurable FPGA Implementation Of Digital Communication System Senior Capstone Project Proposal Reconfigurable FPGA Implementation Project Members Steve Koziol Josh Romans Project Advisor Dr T.L. Stewart Bradley University Department of Electrical & Computer Engineering

More information

MODULE-4 Memory and programmable logic

MODULE-4 Memory and programmable logic MODULE-4 Memory and programmable logic READ-ONLY MEMORY (ROM) A read-only memory (ROM) is a device that includes both the decoder and the OR gates within a single IC package. The connections between the

More information

a) List HW and SW components of the device, and briefly discuss how those components are exploited in the embedded systems

a) List HW and SW components of the device, and briefly discuss how those components are exploited in the embedded systems CSE 237A Winter 2018 Homework 3 Problem 1 [10 pts] Answer following questions by analyzing the IoT device: Amazon Echo Dot. You should do some research beyond the class material. a) List HW and SW components

More information

Combinational logic functions can be represented, and defined, by truth tables.

Combinational logic functions can be represented, and defined, by truth tables. Motivation 1 Combinational logic functions can be represented, and defined, by truth tables. Sequential logic functions cannot, because their behavior depends upon both the state of their inputs and their

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

Clocking Schedule and Writing VHDL Programs for Synthesis

Clocking Schedule and Writing VHDL Programs for Synthesis Session 1532 Clocking Schedule and Writing VHDL Programs for Synthesis Chia-Jeng Tseng Departmentf of Electrical Engineering Bucknell University Lewisburg, Pennsylvania 17837 Abstract Most of the commercial

More information

FPGA & Pulse Width Modulation. Digital Logic. Programing the FPGA 7/23/2015. Time Allotment During the First 14 Weeks of Our Advanced Lab Course

FPGA & Pulse Width Modulation. Digital Logic. Programing the FPGA 7/23/2015. Time Allotment During the First 14 Weeks of Our Advanced Lab Course 1.9.8.7.6.5.4.3.2.1.5 1 1.5 2 2.5 3 3.5 4 4.5 5 5.5 6 6.5 DAC Vin 7/23/215 FPGA & Pulse Width Modulation Allotment During the First 14 Weeks of Our Advanced Lab Course Sigma Delta Pulse Width Modulated

More information

1 Q' 3. You are given a sequential circuit that has the following circuit to compute the next state:

1 Q' 3. You are given a sequential circuit that has the following circuit to compute the next state: UNIVERSITY OF CALIFORNIA Department of Electrical Engineering and Computer Sciences C50 Fall 2001 Prof. Subramanian Homework #3 Due: Friday, September 28, 2001 1. Show how to implement a T flip-flop starting

More information

Switching Circuits & Logic Design

Switching Circuits & Logic Design Switching Circuits & Logic Design JieHong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University all 22 4 Derivation of Graphs and Tables Network motifs in developmental transcription

More information

Chapter # 1: Introduction

Chapter # 1: Introduction Chapter # : Introduction Contemporary Logic Design Randy H. Katz University of California, erkeley May 994 No. - The Process Of Design Design Implementation Debug Design Initial concept: what is the function

More information

Bluetooth Transceiver Design with VHDL-AMS

Bluetooth Transceiver Design with VHDL-AMS Bluetooth Transceiver Design with VHDL-AMS Rami Ahola, Daniel Wallner Spirea AB Stockholm, Sweden rami.ahola@spirea.com daniel.wallner@spirea.com Abstract This paper describes the design challenges of

More information

CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS

CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS 49 CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS 5.1 INTRODUCTION TO VHDL VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. The other widely used

More information

TRANSPOSED FORM OF FOLDED FIR FILTER

TRANSPOSED FORM OF FOLDED FIR FILTER TRANSPOSED FORM OF FOLDED FIR FILTER K. Subramanian 1, Dr. R. Prema 2, S. Muthukrishnan 3 1-3 Dept. of Electronics and Communication Systems, Karpagam Academy of Higher Education, Coimbatore, Tamilnadu,

More information

EE307. Frogger. Project #2. Zach Miller & John Tooker. Lab Work: 11/11/ /23/2008 Report: 11/25/2008

EE307. Frogger. Project #2. Zach Miller & John Tooker. Lab Work: 11/11/ /23/2008 Report: 11/25/2008 EE307 Frogger Project #2 Zach Miller & John Tooker Lab Work: 11/11/2008-11/23/2008 Report: 11/25/2008 This document details the work completed on the Frogger project from its conception and design, through

More information

Chapter 3 Describing Logic Circuits Dr. Xu

Chapter 3 Describing Logic Circuits Dr. Xu Chapter 3 Describing Logic Circuits Dr. Xu Chapter 3 Objectives Selected areas covered in this chapter: Operation of truth tables for AND, NAND, OR, and NOR gates, and the NOT (INVERTER) circuit. Boolean

More information

bus waveforms transport delta and simulation

bus waveforms transport delta and simulation bus waveforms transport delta and simulation Time Modelling and Data Flow Descriptions Modeling time in VHDL Different models of time delay Specify timing requirement Data flow descriptions Signal resolution

More information

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 11.1

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 11.1 Introduction to Simulation of Verilog Designs For Quartus II 11.1 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an

More information

IMPROVEMENT OF THE ORTHOGONAL CODE CONVOLUTION CAPABILITIES USING FPGA IMPLEMENTATION

IMPROVEMENT OF THE ORTHOGONAL CODE CONVOLUTION CAPABILITIES USING FPGA IMPLEMENTATION IMPROVEMENT OF THE ORTHOGONAL CODE CONVOLUTION CAPABILITIES USING FPGA IMPLEMENTATION 1 ANITA YADAV, 2 SHEETAL GANGWAR 1, 2 Masters of Technology Scholar, Department of Electronics & Communication Engineering,

More information

Implementing Multipliers with Actel FPGAs

Implementing Multipliers with Actel FPGAs Implementing Multipliers with Actel FPGAs Application Note AC108 Introduction Hardware multiplication is a function often required for system applications such as graphics, DSP, and process control. The

More information

E2 Framing / Deframing according ITU-T G.703 / G.742 : VHDL-Modules

E2 Framing / Deframing according ITU-T G.703 / G.742 : VHDL-Modules Standard : ITU-T G.703 and G.742 Datarate : 8448 kbit/sec Tolerance : +/- 30 ppm Set 1 to 4 Bit number 1 to 212 212 Bits 212 Bits 212 Bits 212 Bits Set 1 to 4 Bit number 1 to 212 FAS 1111010000 RAI Na

More information

Lecture 2 Exercise 1a. Lecture 2 Exercise 1b

Lecture 2 Exercise 1a. Lecture 2 Exercise 1b Lecture 2 Exercise 1a 1 Design a converter that converts a speed of 60 miles per hour to kilometers per hour. Make the following format changes to your blocks: All text should be displayed in bold. Constant

More information

HDL CODE TO REALIZE ALL THE LOGIC GATES

HDL CODE TO REALIZE ALL THE LOGIC GATES Experiment 1 HDL CODE TO REALIZE ALL THE LOGIC GATES Aim: To write VHDL code for all basic gates, simulate and verify functionality, synthesize. Tools Required: 1. FPG Advantage i. Simulator: Modelsim

More information

Chapter 4: FLIP FLOPS. (Sequential Circuits) By: Siti Sabariah Hj. Salihin ELECTRICAL ENGINEERING DEPARTMENT EE 202 : DIGITAL ELECTRONICS 1

Chapter 4: FLIP FLOPS. (Sequential Circuits) By: Siti Sabariah Hj. Salihin ELECTRICAL ENGINEERING DEPARTMENT EE 202 : DIGITAL ELECTRONICS 1 Chapter 4: FLIP FLOPS (Sequential Circuits) By: Siti Sabariah Hj. Salihin ELECTRICAL ENGINEERING DEPARTMENT 1 CHAPTER 4 : FLIP FLOPS Programme Learning Outcomes, PLO Upon completion of the programme, graduates

More information

Lab 1.2 Joystick Interface

Lab 1.2 Joystick Interface Lab 1.2 Joystick Interface Lab 1.0 + 1.1 PWM Software/Hardware Design (recap) The previous labs in the 1.x series put you through the following progression: Lab 1.0 You learnt some theory behind how one

More information

DELD MODEL ANSWER DEC 2018

DELD MODEL ANSWER DEC 2018 2018 DELD MODEL ANSWER DEC 2018 Q 1. a ) How will you implement Full adder using half-adder? Explain the circuit diagram. [6] An adder is a digital logic circuit in electronics that implements addition

More information

Course Overview. Course Overview

Course Overview. Course Overview Course Overview Where does this course fit into the Electrical Engineering curriculum? Page 5 Course Overview Where does this course fit into the Computer Engineering curriculum? Page 6 3 Course Content

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R05310402 Set No. 1 1. (a) What are the parameters that are necessary to define the electrical characteristics of CMOS circuits? Mention the typical values of a CMOS NAND gate. (b) Design a CMOS

More information

Efficient Parallel Real-Time Upsampling with Xilinx FPGAs

Efficient Parallel Real-Time Upsampling with Xilinx FPGAs Efficient Parallel eal-time Upsampling with Xilinx FPGAs by William D. ichard Associate Professor Washington University, St. Louis wdr@wustl.edu 38 Xcell Journal Fourth Quarter 2014 Here s a way to upsample

More information

Chapter 4 Combinational Logic Circuits

Chapter 4 Combinational Logic Circuits Chapter 4 Combinational Logic Circuits Chapter 4 Objectives Selected areas covered in this chapter: Converting logic expressions to sum-of-products expressions. Boolean algebra and the Karnaugh map as

More information

Project Board Game Counter: Digital

Project Board Game Counter: Digital Project 1.3.3 Board Game Counter: Digital Introduction Just a few short weeks ago, most of you knew little or nothing about digital electronics. Now you are about to build and simulate a complete design.

More information

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 UNIVERSITY OF BOLTON [EES04] SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 INTERMEDIATE DIGITAL ELECTRONICS AND COMMUNICATIONS MODULE NO: EEE5002

More information

2014 Paper E2.1: Digital Electronics II

2014 Paper E2.1: Digital Electronics II 2014 Paper E2.1: Digital Electronics II Answer ALL questions. There are THREE questions on the paper. Question ONE counts for 40% of the marks, other questions 30% Time allowed: 2 hours (Not to be removed

More information

Lecture 3: Logic circuit. Combinational circuit and sequential circuit

Lecture 3: Logic circuit. Combinational circuit and sequential circuit Lecture 3: Logic circuit Combinational circuit and sequential circuit TRAN THI HONG HONG@IS.NAIST.JP Content Lecture : Computer organization and performance evaluation metrics Lecture 2: Processor architecture

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

The counterpart to a DAC is the ADC, which is generally a more complicated circuit. One of the most popular ADC circuit is the successive

The counterpart to a DAC is the ADC, which is generally a more complicated circuit. One of the most popular ADC circuit is the successive 1 The counterpart to a DAC is the ADC, which is generally a more complicated circuit. One of the most popular ADC circuit is the successive approximation converter. 2 3 The idea of sampling is fully covered

More information

UNIT II: Clocked Synchronous Sequential Circuits. CpE 411 Advanced Logic Circuits Design 1

UNIT II: Clocked Synchronous Sequential Circuits. CpE 411 Advanced Logic Circuits Design 1 UNIT II: Clocked Synchronous Sequential Circuits CpE 411 Advanced Logic Circuits Design 1 Unit Outline Analysis of Sequential Circuits State Tables State Diagrams Flip-flop Excitation Tables Basic Design

More information

Introduction to Digital Signal Processing

Introduction to Digital Signal Processing A-PDF Split DEMO : Purchase from www.a-pdf.com to remove the watermark CHAPTER 7 Introduction to Digital Signal Processing 7.1 Introduction The processing of analogue electrical signals and digital data

More information

FPGA Implementation of VHDL Based Traffic Light Controller System

FPGA Implementation of VHDL Based Traffic Light Controller System FPGA Implementation of VHDL Based Traffic Light Controller System Sahil Gupta 1, Surbhi Sharma 2 1, 2 Department of Electronics & Communication Engineering, MIET, Jammu, J&K, India Email address: 1 sahilgupta3@yahoo.in,

More information

Digital Logic Circuits

Digital Logic Circuits Digital Logic Circuits Let s look at the essential features of digital logic circuits, which are at the heart of digital computers. Learning Objectives Understand the concepts of analog and digital signals

More information

Sequential program, state machine, Concurrent process models

Sequential program, state machine, Concurrent process models INSIGHT Sequential program, state machine, Concurrent process models Finite State Machines, or automata, originated in computational theory and mathematical models in support of various fields of bioscience.

More information

Development of FPGA Based System for Neutron Flux Monitoring in Fast Breeder Reactors

Development of FPGA Based System for Neutron Flux Monitoring in Fast Breeder Reactors Development of FPGA Based System for Neutron Flux Monitoring in Fast Breeder Reactors M.Sivaramakrishna, Dr. P.Chellapandi, IGCAR, Dr.S.V.G.Ravindranath (BARC), IGCAR, Kalpakkam, India (sivarama@igcar.gov.in)

More information

Embedded Systems 10 BF - ES - 1 -

Embedded Systems 10 BF - ES - 1 - Embedded Systems 10-1 - REVIEW: VHDL HDL = hardware description language VHDL = VHSIC hardware description language VHSIC = very high speed integrated circuit Initiated by US Department of Defense 1987

More information

Computer Architecture: Part II. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University

Computer Architecture: Part II. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Computer Architecture: Part II First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Outline Combinational Circuits Flips Flops Flops Sequential Circuits 204231: Computer

More information