Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder

Size: px
Start display at page:

Download "Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder"

Transcription

1 Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Steven W. Cox Joel A. Seely General Dynamics C4 Systems Altera Corporation 820 E. McDowell Road, MDR25 0 Innovation Dr Scottsdale, Arizona San Jose, CA 954 (480) (408) steve.cox@gdds.com jseely@altera.com ABSTRACT FPGA modem design techniques using Altera DSP Builder are presented for waveforms on software-defined radios (SDRs). The benefits of using these techniquies will be highlighted. This paper assumes that FPGAs will be the target processor for most modem real-time signal processing of waveforms. The techniques presented in this paper are the result of internal research and development for a general dynamics engineering-sponsored project (ESP). In this paper, we show how the typical FPGA-based waveform design flow can be streamlined to yield maximum efficiency. Time savings in the form of development, debug, and testing will be shown in addition to a reduction in engineering resources needed to accomplish a given cycle time. The streamlined approach includes: architecture definition, subsystem design, simulation, subsystem integration, FPGA code generation, and design verification. The design flow has major advantages compared to traditional waveform development processes and provides a common environment for multi-disciplined personnel to work and communicate effectively. Some areas of tool enhancement are identified to serve as a guide for future revisions and to encourage feedback of ideas for overall design flow maturity.. INTRODUCTION Software-defined radios (SDRs) are becoming ubiquitous as more systems require interoperability across multiple standards. Part of this trend is due to the heavy reliance on configurable hardware (e.g., FPGAs) used to implement the waveforms. To quickly develop these waveforms, some of which are extremely complex, tools with a higher level of abstraction are required. Altera s DSP Builder provides an integrated environment that can be used to develop hardware implementations of waveforms. This method streamlines the FPGA design flow using: Mathwork s Simulink capabilities, a fixed point blockset with Altera FPGA objects, and interfaces to third-party tools to generate a synthesizable FPGA hardware description language (HDL). DSP Builder allows multidisciplined users to work at higher levels of abstraction in a common workspace. All aspects of waveform development, including design, simulation, and verification, can be addressed at the Simulink level prior to hardware implementation. 2. TRADITIONAL FPGA WAVEFORM DESIGN METHOD The traditional ways to develop SDR waveforms have typically been fraught with inefficiency. In the past, designers had the system-level specifications and simulations thrown over the wall and they fired up their HDL editor of choice and started coding. There are, of course, some challenges with this approach. Firstly, the system designer has no insight into the implementation details of the FPGA and, therefore, could not best optimize the system design without lengthy communications with the engineers who are implementing the design. Secondly, the designer needs to be an expert in HDL, which typically isn t the sort of expertise an engineer is likely to pick up overnight. Thirdly, this approach involves manual code generation, which is timeconsuming and tedious, as well as likely to require extensive debugging all of which increases development time and cost. This approach also contains some inherent tendencies towards inefficiency, because the system must be created twice first on the system-level tool and then on the implementation tool further increasing the time and cost of system development. Figure provides an example flowchart for this traditional waveform development flow. CF-RAP

2 Waveform Requirements Waveform Matlab/Simulink Floating Point Model Simulate to Validate system development such as waveform design. With this tool, a new design flow consists of five segments: defining architecture, implementing/designing modules, integration of modules, translating the design to physical FPGA, and verifying the part in the lab. See Figure 2. Waveform Detailed Design Documents Verify against Floating Point Model using ModelSim/Quartus Yes Waveform FPGA Design/Docs Hand Code in VHDL, Verilog, or Schematic Verify VHDL Timing using ModelSim Timing Verified? No Compile VHDL using Altera Quartus II Test Waveform Figure : Traditional Waveform Design Flow. ANOTHER TOOL, A NEW APPROACH Tools such as Altera s DSP Builder have been developed to address the issues found when performing complex 4. DEFINING ARCHITECTURE A floating point Simulink model of the MIL-STD 0A was the starting point for the SDR architecture. The,200 bits per second (bps) transmit mode of the specification was chosen for implementation. The floating-point model was used as a guideline and comparison tool, as well as for initial sizing and architecture mapping estimates for the FPGA implementation. The functionality implemented for this engineering-sponsored project (ESP) is shown in Figure. 5. IMPLEMENTATION/SIMULATION The design entry uses Altera DSP Builder block sets and Simulink toolbox block sets in the Simulink schematic capture environment. DSP Builder provides Simulink graphics conversion to VHDL for third-party VHDL simulators. ModelSim, an efficient and fast event-driven simulator, was used for timing analysis on the sub-blocks. The sub-block timing design flow is shown in Figure 4. As the designer verifies the timing and control in ModelSim, he or she updates the design in the Simulink environment. With the push of a button, conversion of the Simulink graphics to VHDL, stimulus for the sub-block design, and scripts to load and compile the updated design into ModelSim are all created. This automation streamlines the iterative process of simulating a design to assure a properly designed block and removes the onerous, but necessary task of organizing scripts for translation to other tools. Define Architecture Design & Implement modules Integrate modules Translate Design to Altera FPGA Verify Design in the -- Start with existing floating point Simulink model -- Design to 0A spec:,200 bps mode -- Protocol definition Sub-Blocks. Design in DSP Builder blocks. 2. Get data from Simulink model.. Timing/detail design uses ModelSim (.VHDL). 4. Run DSP Builder in Simulink when verifying data. All Blocks. Divide simulation in fast and slow clocks rates if possible. 2. Use sims to examine boundary conditions in design.. Use ModelSim for timing issues. 4. Run sims with DSP Builder to verify Data. 5. Use DSP Builder for initial sizing, then synthesize. Figure 2: Altera DSP Builder Design Flow FPGA Design. Remove stimulus from design for synthesis. 2. Generate Quartus II symbol with DSP Builder script.. Point Quartus II software to new design vhdl and symbol to insert into existing schematic. 4. Insert symbol and appropriate test points, then compile. 5. Check timing. Lab Verification. Check data with logic analyzer. 2. Store data from logic analyzer to file.. Analyze final data from logic analyzer in Simulink.

3 data clk Prom Data from Simulink clk FEC - Rate /2 - Output clock rate doubles 2.4kHz Interleaver - Format symbol to, 2, bits - Grey code data Grey Encode/ Symbol Formatter - Ping-pong memory - Input data rate = half of input clock rate - Syncs on interleaver frames,2, - Map symbol to constellation Constellation Mapper Preamble Generator - Generate preamble - mode dependent parameters - Long/short formats - Form packet - Timing Packet Formattor 6I, 6Q Modulator Scrambler - LUT for modulation - 8 psk Figure : - Randomize data according to sequence - data/sync random sequence Transmit 0A Top-Level Block Diagram Do schematic capture of design with DSP Builder in Simulink Reiterate to fix errors Simulink Models Reiterate to fix errors Run DSP Builder to generate VHDL Run DSP Builder blocks in Simulink and output data to workspace Run floating point Simulink model and output data to workspace Figure 4: Run Timing Analysis in ModelSim using tcl script generated by DSP Builder Analyze data DSP Builder Timing Design Flow Next, the floating point and DSP Builder models are compared and verified. The DSP Builder model and floating-point models are run separately in Simulink, and their data sets of interest are stored in the Simulink environment workspace. Commands in Simulink manipulate the DSP Builder fixed-point data by sub-setting the data sets to compare to the floating-point model. To correct errors, the designer updates the models in Simulink and reruns the simulations to verify. The data verification flow is shown in Figure 5:. Compare and analyze data in Simulink workspace Figure 5: DSP Builder Data Verification Flow 6. INTEGRATION/SIMULATION Data validation was accomplished in the Simulink environment because DSP Builder models are C Code, which run faster than VHDL interpretative simulators. Once the design was totally validated, an initial sizing and synthesis of the DSP Builder design was performed in Simulink. This provides early notification to determine if further work is needed on the design to compensate for sizing and timing constraints. This methodology provides a good technique to fix problems in the early stages of the design cycle instead of time-consuming and costly fixes at the end of the design cycle. During the integration phase, the large disparity between the base clock ( MHz) and the input data clock (,200 Hz)

4 was noted., which could cause the Simulink simulations to take a long time. This problem can be alleviated, however, by reducing the input data clock to be represented by 0.66 MHz instead of the full,200 Hz equivalent. To analyze boundary conditions between frames, the number of bits per frame was decreased from,440 to 20. To help with analyzing data during integration, the slow and fast clock dependencies were separated in the architecture. The slow clock dependences run from the beginning of the waveform chain to the input of the scrambler. The fast clock dependencies run from the scrambler to the output of the modulator. Due to the latency in the interleaver, the data at the output does not become valid until one frame has been written in. Only valid data at the output of the data formatter was captured to the workspace, and the fast dependencies were run as a separate simulation with only the valid data output from the formatter. This integration/simulation methodology significantly reduced simulation time for the high-speed portion of the circuit and allowed efficient design validation. 7. SYNTHESIS Before synthesis, the input stimulus from the Simulink model must be replaced with input pins. Input stimulus was stored in a programmable read-only memory (PROM) and DSP Builder automatically generated the PROM file for synthesis. DSP Builder also generates a Quartus II script to load the design into the tool to create a symbol. The user must anticipate the test points needed to observe the entire new design. These test points are included in the symbol block so they can be connected in the schematic. If additional test points are needed after the compile, the user must update the Simulink DSP Builder model. In order to embed the new design in an existing schematic, pointers to the new VHDL files and its symbol were included in the Quartus II project. Then the project was compiled and timing results were analyzed. Embedding the new DSP Builder design in the existing schematic capture design is easy and straightforward. In Phase ary PSK Transmit Output Quadrature Figure 6: Constellation Plot for Transmit Output The logic analyzer captured the final data (I and Q) to a file, and it was verified against the data in the floating point Simulink environment. This process only took a few days. 9. RECOMMENDATIONS AND CONCLUSIONS Figure 7 documents the different design stages and provides an estimate of the time required to complete each using both the traditional waveform design methodology and DSP Builder. Document Verify in Lab Days To Implement SDR DSP Builder Traditional Method Translate to FPGA 8. LAB VERIFICATION With a raw binary file in hand, the FPGA image was loaded into an SDR radio (with an Altera EP20K000 device) and each sub-block was checked with a logic analyzer. The design yielded an 8 psk constellation, as shown in Figure 6. Integrate Modules Design Modules Define Architecture Figure 7: Comparison of Time to Complete Portions of SDR Using Traditional Method & DSP Builder The Simulink/DSP Builder flow allows the designer to allocate time in an appropriate manner for developing waveforms. With the traditional method, the FPGA designer spends an enormous amount of time in the lab (0 percent

5 and up) troubleshooting FPGA design/system issues. The DSP Builder/Simulink/ModelSim simulation flow allows the designer to rapidly identify problems and troubleshoot them by adding appropriate taps and re-simulating the model. Taking simulations to a system integration level significantly reduces risks, time, and lab resources. Altera s DSP Builder allows the hardware to be abstracted to a higher level so that the FPGA and system waveform developers can operate in a common environment or be onein-the-same person. The tools have a very short learning curve if the user is familiar with Matlab/Simulink and hardware design. As the tool matures, some enhancements may be added to help speed up simulation times. One novel improvement is the addition of frame-based capability in all DSP Builder/Simulink blocks. This technique has been proven to speed up simulation times by many orders of magnitude in standard Matlab/Simulink. Given this capability, the system designer could build the Matlab/Simulink floating-point model using DSP Builder blocks initially, instead of having to use generic Simulink blocks. This would bypass the design flow step that translates the floating-point model into a fixed-point model. Another possible tool enhancement is a method for handling large clock disparities between the FPGA base clock and the model sample clocks. This paper describes a workaround to this dilemma, but there may be automated ways of simplifying this for the common user. The flow described has major advantages of streamlining validation, lab check-out, and providing a common environment within which multi-disciplined personnel can work and communicate. With its existing and upcoming features, DSP Builder is a powerful tool for rapidly developing SDR waveforms on FPGAs.

Stratix II Filtering Lab

Stratix II Filtering Lab October 2004, ver. 1.0 Application Note 362 Introduction The filtering reference design provided in the DSP Development Kit, Stratix II Edition, shows you how to use the Altera DSP Builder for system design,

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

Stratix Filtering Reference Design

Stratix Filtering Reference Design Stratix Filtering Reference Design December 2004, ver. 3.0 Application Note 245 Introduction The filtering reference designs provided in the DSP Development Kit, Stratix Edition, and in the DSP Development

More information

Cyclone II Filtering Lab

Cyclone II Filtering Lab May 2005, ver. 1.0 Application Note 376 Introduction The Cyclone II filtering lab design provided in the DSP Development Kit, Cyclone II Edition, shows you how to use the Altera DSP Builder for system

More information

Crest Factor Reduction

Crest Factor Reduction June 2007, Version 1.0 Application Note 396 This application note describes crest factor reduction and an Altera crest factor reduction solution. Overview A high peak-to-mean power ratio causes the following

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

Audio Sample Rate Conversion in FPGAs

Audio Sample Rate Conversion in FPGAs Audio Sample Rate Conversion in FPGAs An efficient implementation of audio algorithms in programmable logic. by Philipp Jacobsohn Field Applications Engineer Synplicity eutschland GmbH philipp@synplicity.com

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

When to use an FPGA to prototype a controller and how to start

When to use an FPGA to prototype a controller and how to start When to use an FPGA to prototype a controller and how to start Mark Corless, Principal Application Engineer, Novi MI Brad Hieb, Principal Application Engineer, Novi MI 2015 The MathWorks, Inc. 1 When to

More information

Hardware Implementation of Automatic Control Systems using FPGAs

Hardware Implementation of Automatic Control Systems using FPGAs Hardware Implementation of Automatic Control Systems using FPGAs Lecturer PhD Eng. Ionel BOSTAN Lecturer PhD Eng. Florin-Marian BÎRLEANU Romania Disclaimer: This presentation tries to show the current

More information

SV3C CPTX MIPI C-PHY Generator. Data Sheet

SV3C CPTX MIPI C-PHY Generator. Data Sheet SV3C CPTX MIPI C-PHY Generator Data Sheet Table of Contents Table of Contents Table of Contents... 1 List of Figures... 2 List of Tables... 2 Introduction... 3 Overview... 3 Key Benefits... 3 Applications...

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 13.0

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 13.0 Introduction to Simulation of Verilog Designs For Quartus II 13.0 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an

More information

Real-Time Testing Made Easy with Simulink Real-Time

Real-Time Testing Made Easy with Simulink Real-Time Real-Time Testing Made Easy with Simulink Real-Time Andreas Uschold Application Engineer MathWorks Martin Rosser Technical Sales Engineer Speedgoat 2015 The MathWorks, Inc. 1 Model-Based Design Continuous

More information

High-Speed Transceiver Toolkit

High-Speed Transceiver Toolkit High-Speed Transceiver Toolkit Stratix V FPGA Design Seminars 2011 3.0 Stratix V FPGA Design Seminars 2011 Our seminars feature hour-long modules on different Stratix V capabilities and applications to

More information

IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL

IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL G.Murugesan N. Ramadass Dr.J.Raja paul Perinbum School of ECE Anna University Chennai-600 025 Gm1gm@rediffmail.com ramadassn@yahoo.com

More information

EE25266 ASIC/FPGA Chip Design. Designing a FIR Filter, FPGA in the Loop, Ethernet

EE25266 ASIC/FPGA Chip Design. Designing a FIR Filter, FPGA in the Loop, Ethernet EE25266 ASIC/FPGA Chip Design Mahdi Shabany Electrical Engineering Department Sharif University of Technology Assignment #8 Designing a FIR Filter, FPGA in the Loop, Ethernet Introduction In this lab,

More information

Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus II 13.1

Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus II 13.1 Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor For Quartus II 13.1 1 Introduction This tutorial provides an introduction to simulation of logic circuits using the

More information

Abstract of PhD Thesis

Abstract of PhD Thesis FACULTY OF ELECTRONICS, TELECOMMUNICATION AND INFORMATION TECHNOLOGY Irina DORNEAN, Eng. Abstract of PhD Thesis Contribution to the Design and Implementation of Adaptive Algorithms Using Multirate Signal

More information

Rapid Design of FIR Filters in the SDR- 500 Software Defined Radio Evaluation System using the ASN Filter Designer

Rapid Design of FIR Filters in the SDR- 500 Software Defined Radio Evaluation System using the ASN Filter Designer Rapid Design of FIR Filters in the SDR- 500 Software Defined Radio Evaluation System using the ASN Filter Designer Application note (ASN-AN026) October 2017 (Rev B) SYNOPSIS SDR (Software Defined Radio)

More information

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Overview When developing and debugging I 2 C based hardware and software, it is extremely helpful

More information

A Dynamic Reconcile Algorithm for Address Generator in Wimax Deinterleaver

A Dynamic Reconcile Algorithm for Address Generator in Wimax Deinterleaver A Dynamic Reconcile Algorithm for Address Generator in Wimax Deinterleaver Kavya J Mohan 1, Riboy Cheriyan 2 M Tech Scholar, Dept. of Electronics and Communication, SAINTGITS College of Engineering, Kottayam,

More information

SV2C 28 Gbps, 8 Lane SerDes Tester

SV2C 28 Gbps, 8 Lane SerDes Tester SV2C 28 Gbps, 8 Lane SerDes Tester Data Sheet SV2C Personalized SerDes Tester Data Sheet Revision: 1.0 2015-03-19 Revision Revision History Date 1.0 Document release. March 19, 2015 The information in

More information

Pragmatic Strategies for Adopting Model-Based Design for Embedded Applications. The MathWorks, Inc.

Pragmatic Strategies for Adopting Model-Based Design for Embedded Applications. The MathWorks, Inc. Pragmatic Strategies for Adopting Model-Based Design for Embedded Applications Larry E. Kendrick, PhD The MathWorks, Inc. Senior Principle Technical Consultant Introduction What s MBD? Why do it? Make

More information

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core reset 16-bit signed input data samples Automatic carrier acquisition with no complex setup required User specified design

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core -bit signed input samples gain seed 32 dithering use_complex Accepts either complex (I/Q) or real input samples Programmable

More information

Practical Use of Reconfigurable Radios in Air Combat Training Systems

Practical Use of Reconfigurable Radios in Air Combat Training Systems Your Mission Our Commitment Practical Use of Reconfigurable Radios in Air Combat Training Systems SDR 11 - WInnComm 2011 Presentation 10 February 2011 Michael Cary, DRS TCS Program Manager Mcary@drs-ds.com

More information

Introduction to Simulation of Verilog Designs. 1 Introduction

Introduction to Simulation of Verilog Designs. 1 Introduction Introduction to Simulation of Verilog Designs 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an introduction to such

More information

Questa ADMS. Analog-Digital Mixed-Signal Simulator. Mixed-Signal Simulator for Modern Design. A Flexible Mixed-Signal Strategy

Questa ADMS. Analog-Digital Mixed-Signal Simulator. Mixed-Signal Simulator for Modern Design. A Flexible Mixed-Signal Strategy Analog-Digital Mixed-Signal Simulator Questa ADMS Analog/Mixed-Signal Verification D A T A S H E E T FEATURES AND BENEFITS: Questa ADMS is the de facto industry standard for the creation and verification

More information

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS Journal of ELECTRICAL ENGINEERING, VOL. 60, NO. 1, 2009, 43 47 THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS Rastislav Róka For the exploitation of PLC modems, it is necessary to

More information

Digital Payload Modeling for Space Applications

Digital Payload Modeling for Space Applications Digital Payload Modeling for Space Applications Bradford S. Watson Staff Engineer Advanced Algorithm Development Group Copyright 28. Lockheed Martin Corporation. All rights reserved..ppt 5/9/28 1 Overview

More information

2015 The MathWorks, Inc. 1

2015 The MathWorks, Inc. 1 2015 The MathWorks, Inc. 1 What s Behind 5G Wireless Communications? 서기환과장 2015 The MathWorks, Inc. 2 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile

More information

Stratix II DSP Performance

Stratix II DSP Performance White Paper Introduction Stratix II devices offer several digital signal processing (DSP) features that provide exceptional performance for DSP applications. These features include DSP blocks, TriMatrix

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

PORTING OF AN FPGA BASED HIGH DATA RATE DVB-S2 MODULATOR

PORTING OF AN FPGA BASED HIGH DATA RATE DVB-S2 MODULATOR Proceedings of the SDR 11 Technical Conference and Product Exposition, Copyright 2011 Wireless Innovation Forum All Rights Reserved PORTING OF AN FPGA BASED HIGH DATA RATE MODULATOR Chayil Timmerman (MIT

More information

Implementing Logic with the Embedded Array

Implementing Logic with the Embedded Array Implementing Logic with the Embedded Array in FLEX 10K Devices May 2001, ver. 2.1 Product Information Bulletin 21 Introduction Altera s FLEX 10K devices are the first programmable logic devices (PLDs)

More information

Anju 1, Amit Ahlawat 2

Anju 1, Amit Ahlawat 2 Implementation of OFDM based Transreciever for IEEE 802.11A on FPGA Anju 1, Amit Ahlawat 2 1 Hindu College of Engineering, Sonepat 2 Shri Baba Mastnath Engineering College Rohtak Abstract This paper focus

More information

AC : ORTHOGONAL FREQUENCY DIVISION MULTIPLEX- ING (OFDM) DEVELOPMENT AND TEACHING PLATFORM

AC : ORTHOGONAL FREQUENCY DIVISION MULTIPLEX- ING (OFDM) DEVELOPMENT AND TEACHING PLATFORM AC 2011-2674: ORTHOGONAL FREQUENCY DIVISION MULTIPLEX- ING (OFDM) DEVELOPMENT AND TEACHING PLATFORM Antonio Francisco Mondragon-Torres, Rochester Institute of Technology Antonio F. Mondragon-Torres received

More information

STANAG 4529 CONFORMANCE TEST PROCEDURES

STANAG 4529 CONFORMANCE TEST PROCEDURES DEFENSE INFORMATION SYSTEMS AGENCY JOINT INTEROPERABILITY TEST COMMAND FORT HUACHUCA, ARIZONA STANAG 4529 CONFORMANCE TEST PROCEDURES APRIL 2004 Downloaded from http://www.everyspec.com (This page intentionally

More information

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 98 CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 5.1 INTRODUCTION This chapter deals with the design and development of FPGA based PWM generation with the focus on to improve the

More information

From Antenna to Bits:

From Antenna to Bits: From Antenna to Bits: Wireless System Design with MATLAB and Simulink Cynthia Cudicini Application Engineering Manager MathWorks cynthia.cudicini@mathworks.fr 1 Innovations in the World of Wireless Everything

More information

Optimized BPSK and QAM Techniques for OFDM Systems

Optimized BPSK and QAM Techniques for OFDM Systems I J C T A, 9(6), 2016, pp. 2759-2766 International Science Press ISSN: 0974-5572 Optimized BPSK and QAM Techniques for OFDM Systems Manikandan J.* and M. Manikandan** ABSTRACT A modulation is a process

More information

FPGA Implementation of a PID Controller with DC Motor Application

FPGA Implementation of a PID Controller with DC Motor Application FPGA Implementation of a PID Controller with DC Motor Application Members Paul Leisher Christopher Meyers Advisors Dr. Stewart Dr. Dempsey This project aims to implement a digital PID controller by means

More information

Von der Idee bis zur Umsetzung in einer Entwicklungsumgebung State of the Art von Dr. Simon Ginsburg

Von der Idee bis zur Umsetzung in einer Entwicklungsumgebung State of the Art von Dr. Simon Ginsburg Von der Idee bis zur Umsetzung in einer Entwicklungsumgebung State of the Art von Dr. Simon Ginsburg 2013 The MathWorks, Inc. 1 Key Takeaways Model-Based Design drives innovation scales for enterprises

More information

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator www.semargroups.org, www.ijsetr.com ISSN 2319-8885 Vol.02,Issue.10, September-2013, Pages:984-988 Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator MISS ANGEL

More information

BPSK System on Spartan 3E FPGA

BPSK System on Spartan 3E FPGA INTERNATIONAL JOURNAL OF INNOVATIVE TECHNOLOGIES, VOL. 02, ISSUE 02, FEB 2014 ISSN 2321 8665 BPSK System on Spartan 3E FPGA MICHAL JON 1 M.S. California university, Email:santhoshini33@gmail.com. ABSTRACT-

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

Practical Use of Reconfigurable Radios in Air Combat Training Systems

Practical Use of Reconfigurable Radios in Air Combat Training Systems Proceedings of the SDR 11 Technical Conference and Product Exposition, Copyright 2011 Wireless Innovation Forum All Rights Reserved Practical Use of Reconfigurable Radios in Air Combat Training Systems

More information

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 11.1

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 11.1 Introduction to Simulation of Verilog Designs For Quartus II 11.1 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an

More information

A CASE STUDY COMPARING TRADITION TO MODEL-BASED RAPID DEVELOPMENT OF SDR WAVEFORMS PART II

A CASE STUDY COMPARING TRADITION TO MODEL-BASED RAPID DEVELOPMENT OF SDR WAVEFORMS PART II A CASE STUDY COMPARING TRADITION TO MODEL-BASED RAPID DEVELOPMENT OF SDR WAVEFORMS PART II David Haessig, Robert Regis (BAE Systems, NES, Wayne NJ, USA, david.haessig, robert.regis@baesystems.com); Mark

More information

Mehmet SÖNMEZ and Ayhan AKBAL* Electrical-Electronic Engineering, Firat University, Elazig, Turkey. Accepted 17 August, 2012

Mehmet SÖNMEZ and Ayhan AKBAL* Electrical-Electronic Engineering, Firat University, Elazig, Turkey. Accepted 17 August, 2012 Vol. 8(34), pp. 1658-1669, 11 September, 2013 DOI 10.5897/SRE12.171 ISSN 1992-2248 2013 Academic Journals http://www.academicjournals.org/sre Scientific Research and Essays Full Length Research Paper Field-programmable

More information

The Application of System Generator in Digital Quadrature Direct Up-Conversion

The Application of System Generator in Digital Quadrature Direct Up-Conversion Communications in Information Science and Management Engineering Apr. 2013, Vol. 3 Iss. 4, PP. 192-19 The Application of System Generator in Digital Quadrature Direct Up-Conversion Zhi Chai 1, Jun Shen

More information

Managing Metastability with the Quartus II Software

Managing Metastability with the Quartus II Software Managing Metastability with the Quartus II Software 13 QII51018 Subscribe You can use the Quartus II software to analyze the average mean time between failures (MTBF) due to metastability caused by synchronization

More information

UNIVERSITI MALAYSIA PERLIS

UNIVERSITI MALAYSIA PERLIS UNIVERSITI MALAYSIA PERLIS SCHOOL OF COMPUTER & COMMUNICATIONS ENGINEERING EKT303/4 PRINCIPLES OF COMPUTER ARCHITECTURE LAB 5 : STATE MACHINE DESIGNS IN VHDL LAB 5: Finite State Machine Design OUTCOME:

More information

Questa ADMS supports all three major methodologies for mixed-signal verification:

Questa ADMS supports all three major methodologies for mixed-signal verification: Analog-Digital Mixed-Signal Verification Questa ADMS Analog/Mixed-Signal Verification D A T A S H E E T FEATURES AND BENEFITS: Questa ADMS is the de facto industry standard for the creation and verification

More information

The Design and Simulation of Embedded FIR Filter based on FPGA and DSP Builder

The Design and Simulation of Embedded FIR Filter based on FPGA and DSP Builder Research Journal of Applied Sciences, Engineering and Technology 6(19): 3489-3494, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: August 09, 2012 Accepted: September

More information

ERAU the FAA Research CEH Tools Qualification

ERAU the FAA Research CEH Tools Qualification ERAU the FAA Research 2007-2009 CEH Tools Qualification Contract DTFACT-07-C-00010 Dr. Andrew J. Kornecki, Dr. Brian Butka Embry Riddle Aeronautical University Dr. Janusz Zalewski Florida Gulf Coast University

More information

EE 307 Project #1 Whac-A-Mole

EE 307 Project #1 Whac-A-Mole EE 307 Project #1 Whac-A-Mole Performed 10/25/2008 to 11/04/2008 Report finished 11/09/2008 John Tooker Chenxi Liu Abstract: In this project, we made a digital circuit that operates Whac-A-Mole game. Quartus

More information

Synthesis of Blind Adaptive Beamformer using NCMA for Smart Antenna

Synthesis of Blind Adaptive Beamformer using NCMA for Smart Antenna Synthesis of Blind Adaptive Beamformer using NCMA for Smart Antenna Imtiyaz Ahmed B.K Research Scholar, Department of Electronics and Communication Engineering, School of Engineering and Technology, Jain

More information

Implementation of FPGA based Design for Digital Signal Processing

Implementation of FPGA based Design for Digital Signal Processing e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 150 156 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com Implementation of FPGA based Design for Digital Signal Processing Neeraj Soni 1,

More information

BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA

BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA Mr. Pratik A. Bhore 1, Miss. Mamta Sarde 2 pbhore3@gmail.com1, mmsarde@gmail.com2 Department of Electronics & Communication Engineering Abha Gaikwad-Patil

More information

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM 1 J. H.VARDE, 2 N.B.GOHIL, 3 J.H.SHAH 1 Electronics & Communication Department, Gujarat Technological University, Ahmadabad, India

More information

Serial and Parallel Processing Architecture for Signal Synchronization

Serial and Parallel Processing Architecture for Signal Synchronization Serial and Parallel Processing Architecture for Signal Synchronization Franklin Rafael COCHACHIN HENOSTROZA Emmanuel BOUTILLON July 2015 Université de Bretagne Sud Lab-STICC, UMR 6285 Centre de Recherche

More information

RED. Course on Electronic Design Automation. (Rechnergestützter Entwurf Digital) lektronik abor

RED. Course on Electronic Design Automation. (Rechnergestützter Entwurf Digital) lektronik abor lektronik abor Course on Electronic Design Automation RED (Rechnergestützter Entwurf Digital) Prof. Dr. Martin J. W. Schubert Electronics Laboratory Regensburg University of Applied Sciences, Regensburg

More information

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Vijay Kumar Ch 1, Leelakrishna Muthyala 1, Chitra E 2 1 Research Scholar, VLSI, SRM University, Tamilnadu, India 2 Assistant Professor,

More information

CHAPTER 2 FIR ARCHITECTURE FOR THE FILTER BANK OF SPEECH PROCESSOR

CHAPTER 2 FIR ARCHITECTURE FOR THE FILTER BANK OF SPEECH PROCESSOR 22 CHAPTER 2 FIR ARCHITECTURE FOR THE FILTER BANK OF SPEECH PROCESSOR 2.1 INTRODUCTION A CI is a device that can provide a sense of sound to people who are deaf or profoundly hearing-impaired. Filters

More information

FPGA BASED WAVEFORM DESIGN TECHNIQUES FOR SOFTWARE DEFINED RADIOS

FPGA BASED WAVEFORM DESIGN TECHNIQUES FOR SOFTWARE DEFINED RADIOS FPGA BASED WAVEFORM DESIG TECHIQUES FOR SOFTWARE DEFIED RADIOS Steven W. Cox (General Dynamics Decision Systems, 80 E. Roosevelt, Scottsdale, AZ, steve.cox@gdds.com) ABSTRACT Design techniques are presented

More information

DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION

DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION Muzakkir Mas ud Adamu Depertment of Computer Engineering, Hussaini Adamu Federal Polytechnic Kazaure, Jigawa State Nigeria.

More information

Behavioral Modeling of Digital Pre-Distortion Amplifier Systems

Behavioral Modeling of Digital Pre-Distortion Amplifier Systems Behavioral Modeling of Digital Pre-Distortion Amplifier Systems By Tim Reeves, and Mike Mulligan, The MathWorks, Inc. ABSTRACT - With time to market pressures in the wireless telecomm industry shortened

More information

Automated Generation of Built-In Self-Test and Measurement Circuitry for Mixed-Signal Circuits and Systems

Automated Generation of Built-In Self-Test and Measurement Circuitry for Mixed-Signal Circuits and Systems Automated Generation of Built-In Self-Test and Measurement Circuitry for Mixed-Signal Circuits and Systems George J. Starr, Jie Qin, Bradley F. Dutton, Charles E. Stroud, F. Foster Dai and Victor P. Nelson

More information

Digital Downconverter (DDC) Reference Design. Introduction

Digital Downconverter (DDC) Reference Design. Introduction Digital Downconverter (DDC) Reference Design April 2003, ver. 2.0 Application Note 279 Introduction Much of the signal processing performed in modern wireless communications systems takes place in the

More information

Lab 1.2 Joystick Interface

Lab 1.2 Joystick Interface Lab 1.2 Joystick Interface Lab 1.0 + 1.1 PWM Software/Hardware Design (recap) The previous labs in the 1.x series put you through the following progression: Lab 1.0 You learnt some theory behind how one

More information

Implementation and Performance Analysis of OFDM Based DVB-T System Using Matlab and HDL Coder

Implementation and Performance Analysis of OFDM Based DVB-T System Using Matlab and HDL Coder Implementation and Performance Analysis of OFDM Based DVB-T System Using Matlab and HDL Coder Syed Gilani Pasha 1, Vinayadatt V Kohir 2 1 Research Scholar, Visvesvaraya Technological University, Belagavi,

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Power Supply Control With FPGAs: Model-Based Design With Matlab, Simulink And DSP Builder

Power Supply Control With FPGAs: Model-Based Design With Matlab, Simulink And DSP Builder ISSUE: April 2014 Power Supply Control With FPGAs: Model-Based Design With Matlab, Simulink And DSP Builder by Peter Markowski, Envelope Power, Chebeague Island, Maine Digital control has taken the power

More information

- Software Engineer con Laurea Magistrale in Informatica, Telecomunicazioni o Elettronica

- Software Engineer con Laurea Magistrale in Informatica, Telecomunicazioni o Elettronica Elettronica spa cerca: - Software Engineer con Laurea Magistrale in Informatica, Telecomunicazioni o Elettronica - Machine Learning Engineer con Laurea Magistrale in Informatica, Elettronica o Telecomunicazioni

More information

Design and Characterization of ECC IP core using Improved Hamming Code

Design and Characterization of ECC IP core using Improved Hamming Code International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August 2013 Design and Characterization of ECC IP core using Improved Hamming Code Arathy S, Nandakumar R Abstract Hamming

More information

What s Behind 5G Wireless Communications?

What s Behind 5G Wireless Communications? What s Behind 5G Wireless Communications? Marc Barberis 2015 The MathWorks, Inc. 1 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile Broadband IoT

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

An Efficient Method for Implementation of Convolution

An Efficient Method for Implementation of Convolution IAAST ONLINE ISSN 2277-1565 PRINT ISSN 0976-4828 CODEN: IAASCA International Archive of Applied Sciences and Technology IAAST; Vol 4 [2] June 2013: 62-69 2013 Society of Education, India [ISO9001: 2008

More information

Construction of FPGA-based Test Bench for QAM Modulators

Construction of FPGA-based Test Bench for QAM Modulators Construction of FPGA-based Test Bench for QAM Modulators Master thesis performed in Electronic Devices by Josef Hederström LiTH-ISY-EX--10/4381--SE Linköping 2010-09-24 Construction of FPGA-based Test

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

Design and Implementation of Modern Digital Controller for DC-DC Converters

Design and Implementation of Modern Digital Controller for DC-DC Converters Design and Implementation of Modern Digital Controller for DC-DC Converters S.Chithra 1, V. Devi Maheswaran 2 PG Student [Embedded Systems], Dept. of EEE, Rajalakshmi Engineering College, Chennai, Tamilnadu,

More information

A Scalable OFDMA Engine for WiMAX

A Scalable OFDMA Engine for WiMAX A Scalable OFDMA Engine for WiMAX May 2007, Version 2.1 Application Note 412 Introduction f The Altera scalable orthogonal frequency-division multiple access (OFDMA) engine for mobile worldwide interoperability

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 3, Issue 1, January 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Design of Digital

More information

Spectrum Detector for Cognitive Radios. Andrew Tolboe

Spectrum Detector for Cognitive Radios. Andrew Tolboe Spectrum Detector for Cognitive Radios Andrew Tolboe Motivation Currently in the United States the entire radio spectrum has already been reserved for various applications by the FCC. Therefore, if someone

More information

TSTE17 System Design, CDIO. General project hints. Behavioral Model. General project hints, cont. Lecture 5. Required documents Modulation, cont.

TSTE17 System Design, CDIO. General project hints. Behavioral Model. General project hints, cont. Lecture 5. Required documents Modulation, cont. TSTE17 System Design, CDIO Lecture 5 1 General project hints 2 Project hints and deadline suggestions Required documents Modulation, cont. Requirement specification Channel coding Design specification

More information

Arria V Timing Optimization Guidelines

Arria V Timing Optimization Guidelines Arria V Timing Optimization Guidelines AN-652-1. Application Note This document presents timing optimization guidelines for a set of identified critical timing path scenarios in Arria V FPGA designs. Timing

More information

TABLE OF CONTENTS CHAPTER TITLE PAGE

TABLE OF CONTENTS CHAPTER TITLE PAGE TABLE OF CONTENTS CHAPTER TITLE PAGE DECLARATION ACKNOWLEDGEMENT ABSTRACT ABSTRAK TABLE OF CONTENTS LIST OF TABLES LIST OF FIGURES LIST OF ABBREVIATIONS i i i i i iv v vi ix xi xiv 1 INTRODUCTION 1 1.1

More information

TECHNIQUES AND RECOMMENDATIONS TO IMPROVE WAVEFORM PORTABILITY

TECHNIQUES AND RECOMMENDATIONS TO IMPROVE WAVEFORM PORTABILITY TECHNIQUES AND RECOMMENDAONS TO IMPROVE WAVEFORM PORTABILITY Scott Macejak, David Maldonado, Jim Agniel (L-3 Communications Nova Engineering, Cincinnati, OH, USA; Scott.Macejak@, David.Maldonado@, Jim.Agniel@L-3Com.com)

More information

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Introduction: The CEBAF upgrade Low Level Radio Frequency (LLRF) control

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P Khatri (Lab exercise created and tested by Ramu Endluri, He Zhou, Andrew Douglass

More information

FIR_NTAP_MUX. N-Channel Multiplexed FIR Filter Rev Key Design Features. Block Diagram. Applications. Pin-out Description. Generic Parameters

FIR_NTAP_MUX. N-Channel Multiplexed FIR Filter Rev Key Design Features. Block Diagram. Applications. Pin-out Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL Core N-channel FIR filter core implemented as a systolic array for speed and scalability Support for one or more independent

More information

A Framework for Fast Hardware-Software Co-simulation

A Framework for Fast Hardware-Software Co-simulation A Framework for Fast Hardware-Software Co-simulation Andreas Hoffmann, Tim Kogel, Heinrich Meyr Integrated Signal Processing Systems (ISS), RWTH Aachen Templergraben 55, 52056 Aachen, Germany hoffmann[kogel,meyr]@iss.rwth-aachen.de

More information

Chapter 3 Describing Logic Circuits Dr. Xu

Chapter 3 Describing Logic Circuits Dr. Xu Chapter 3 Describing Logic Circuits Dr. Xu Chapter 3 Objectives Selected areas covered in this chapter: Operation of truth tables for AND, NAND, OR, and NOR gates, and the NOT (INVERTER) circuit. Boolean

More information

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION Riyaz Khan 1, Mohammed Zakir Hussain 2 1 Department of Electronics and Communication Engineering, AHTCE, Hyderabad (India) 2 Department

More information

IP-PSK-DEMOD4. BPSK, QPSK, 8-PSK Demodulator for FPGA FEATURES DESCRIPTION APPLICATIONS HARDWARE SUPPORT DELIVERABLES

IP-PSK-DEMOD4. BPSK, QPSK, 8-PSK Demodulator for FPGA FEATURES DESCRIPTION APPLICATIONS HARDWARE SUPPORT DELIVERABLES BPSK, QPSK, 8-PSK Demodulator for FPGA v1.3 FEATURES Multi-mode Phase Shift Keyed demodulator supports BPSK, QPSK, 8-PSK Symbol rates up to 682.5 KSPS Matched filtering with programmable Root Raised Cosine

More information

SpectraTronix C700. Modular Test & Development Platform. Ideal Solution for Cognitive Radio, DSP, Wireless Communications & Massive MIMO Applications

SpectraTronix C700. Modular Test & Development Platform. Ideal Solution for Cognitive Radio, DSP, Wireless Communications & Massive MIMO Applications SpectraTronix C700 Modular Test & Development Platform Ideal Solution for Cognitive Radio, DSP, Wireless Communications & Massive MIMO Applications Design, Test, Verify & Prototype All with the same tool

More information