Types of Control. Programmed Non-programmed. Program Counter Hardwired

Size: px
Start display at page:

Download "Types of Control. Programmed Non-programmed. Program Counter Hardwired"

Transcription

1 Lecture #5 In this lecture we will introduce the sequential circuits. We will overview various Latches and Flip Flops (30 min) Give Sequential Circuits design concept Go over several examples as time permits

2 Control Circuitry Binary information is either data or control. Data paths are responsible for processing the data, Control signals are responsible for generation and sequencing of events. Signals like load are used for example when and where to place a data item in a register or select signal on a MUX to select an item or Enable signal to put data on a bus. The term sequential circuit is referred to circuits that sequence such events. Types of Control Programmed Non-programmed Program Counter Hardwired Memory Microcoded (As in microprocessor) Finite state Machines covered in this lecture Algorithmic State Machines covered in this lecture

3 Digital Design: Parameters to be considered Memory I/O Control Data Path

4 RS Latch + = S + R R R S t q t S Two Problems: R=S= 1 Not allowed, Data is transparent

5 The D Latch C RS Flip Flop D D C Problem: Level sensitive

6 JK Latch : Universal, Level sensitive, Timing Constraints due to feed back. Other latches can be constructed using JK Latch C JK Flip Flop: J S K R t + 1 = J t + K t JK Flip Flop with a rising-edge : J K JK FF C J K J K

7 Master Slave Flip Flop Edge sensitive,set up and Hold time Master and Slave Flip Flop : A D Flip Flop with a falling-edge trigger. Master Slave D C D C D Latch D C D Latch D C

8

9 Edge triggered Flip Flop: Set up and Hold time Constraints S Clk ` R

10 Input Combinational Logic Output States Excitation Vectors Memory

11 xample 1 esign a sequence detector that detects a sequence of 2 zeros or 3 ones on n incoming serial data line. Assume an asynchronous reset that initializes he machine. et input be x, and output be z.

12 xample 2 hen a minor road crosses a highway a traffic controller is installed to control the flow of traffic. Normally the highway is given the right of way nd where is a demand on the minor road then the highway is interrupted to give access to the minor road. You are asked to design controller to work n this principals. he highway should be given the right of way. If any of the sensors on the minor road do not detect presence of a car or if the sensor does detect a ar but an amount of time equal to or greater than Timer long=t30 seconds, has not elapsed since last change. f there was a car on the minor road and amount of time greater than Timer long has elapsed, then the traffic light should cycle through amber for imer short=3 seconds and change to Red, while minor road changes to Green. The minor road now should have access of the road while there is car ut never more than Timer long. The minor road then should cycle back to red through a Timer short=3 second. While the highway cycles back to reen Sensor Minor Road Highway Sensor

13 Example 3[1] Design a Tool Booth Controller that controls the signal and the barrier of a toll booth on a highway. The Booth and Controller is shown in the figu below and has the following components. A sensor on the driveway that shows presence of a car, ie signal S=1, S=0 otherwise. A coin machine receiving the exact coin. When coin is inserted, signal C =1, otherwise C=0. T=1 traffic light is green and the barrier open. T=0 traffic light is red and the barrier is closed. At normal times the tollbooth is idle. Traffic signal is red and the barrier is closed. When a car enters the driveway of the booth, then the presence the car is detected with S=1 from the sensor. The controller then waits for the right coin. When the coin is inserted, C=1, then the traffic light turn green T=1 and the barrier is raised. When the car passes all signals are reset and the barrier is lowered Assume there is room for one car only at th booth.

14 Example Design a sequence detector that detects a sequence of 2 zeros or 3 ones on an incoming serial data line. Assume an asynchronous reset that initializes the machine. Let the input be x, and the output be z. We have the following state diagram 0/1 P1 0/0 (01) P0 ( 00 ) 1/0 1/0 0/0 0/0 P2 1/1 (10) P3 1/0 (11 )

15 Controller for a Shift and Add Multiplier

16 Multiplier Design Block Diagram MULTIPLIER A_in 8 Multiplicand 8 RA reset 8-Bit Ripple Carry Adder LOAD_cmd Controller clk START STOP RB 8 C_out Add_out ADD_cmd SHIFT_cmd LSB B_in 8 Multiplier_Result 16 RC 8

17 Controller FSM Diagram START = 0 count = 8 IDLE STOP = 1 START = 1 SHIFT SHIFT_cmd =1 count=count+1 LSB = 0 INIT LOAD_cmd=1 count /= 8 ADD ADD_cmd = 1 LSB = 1 TEST

18 Multiplier controller VHDL: Controller (COEN 6501) Library Name : DSD -- Unit Name : Controller Date : Mon Oct 27 12:36: Author : Giovanni D'Aliesio Description: Controller is a finite state machine -- that performs the following in each -- state: -- IDLE > samples the START signal -- INIT > commands the registers to be -- loaded -- TEST > samples the LSB -- ADD > indicates the Add result to be stored -- SHIFT > commands the register to be shifted Cell Information

19 Interface library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity Controller is port (reset : in std_logic ; clk : in std_logic ; START : in std_logic ; LSB : in std_logic ; ADD_cmd : out std_logic ; SHIFT_cmd : out std_logic ; LOAD_cmd : out std_logic ; STOP : out std_logic); end; Reset Start LSB clk Controller ADD SHIFT LOAD STOP

20 START = 0 architecture rtl of Controller is signal temp_count : std_logic_vector(2 downto 0); -- declare states type state_typ is (IDLE, INIT, TEST, ADD, SHIFT); signal state : state_typ; begin process (clk, reset) begin if reset='0' then state <= IDLE; temp_count <= "000"; elsif (clk'event and clk='1') then case state is when IDLE => if START = '1' then state <= INIT; else state <= IDLE; end if; when INIT => state <= TEST; when TEST = if LSB = '0' then state <= SHIFT else state <= ADD; end if; when ADD => state <= SHIFT; when SHIFT =>if temp_count = "111" then -- verify if finished temp_count <= "000"; -- re-initialize counter state <= IDLE; -- ready for next multiply else temp_count <= temp_count + 1; -- increment counter state <= TEST; end if; end case; SHIFT SHIFT_cmd =1 count=count+1 ADD ADD_cmd = 1 count = 8 LSB = 1 count /= 8 IDLE STOP = 1 LSB = 0 TEST START = 1 INIT LOAD_cmd=1 end if; end process; STOP <= '1' when state = IDLE else '0'; ADD_cmd <= '1 when state = ADD else '0'; SHIFT_cmd <= '1' when state = SHIFT else '0'; LOAD_cmd <= '1' when state = INIT else '0'; end rtl;

21 Controller Simulation Timing Diagram

22 Stop command 0 STOP=1 START=? STOP=1 LOAD Load command TEST 010 C=C + 1 RA Multiplicand RB Multiplier C=0, STOP=0 0 =? ADD 011 ACC <= ACC +RA ADD Commend C = C + 1 SHIFT 100 Shift Right C, ACC, RB Shift command /=8 =8 C= 8?

23

24

25

UNIVERSITI MALAYSIA PERLIS

UNIVERSITI MALAYSIA PERLIS UNIVERSITI MALAYSIA PERLIS SCHOOL OF COMPUTER & COMMUNICATIONS ENGINEERING EKT303/4 PRINCIPLES OF COMPUTER ARCHITECTURE LAB 5 : STATE MACHINE DESIGNS IN VHDL LAB 5: Finite State Machine Design OUTCOME:

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-27: igital Logic esign Fall 27 SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted

More information

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 5

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 5 IGITAL LOGIC WITH VHL (Fall 2013) Unit 5 SEUENTIAL CIRCUITS Asynchronous sequential circuits: Latches Synchronous circuits: flip flops, counters, registers. COMBINATORIAL CIRCUITS In combinatorial circuits,

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted SR Latch S R S R SR LATCH WITH NABL: R R' S R t+ t t+ t t t S S' LATCH WITH NABL: This is

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-27: igital Logic esign Winter 28 SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted

More information

Ring Counter. 4-bit Ring Counter using D FlipFlop. VHDL Code for 4-bit Ring Counter and Johnson Counter 1. Contents

Ring Counter. 4-bit Ring Counter using D FlipFlop. VHDL Code for 4-bit Ring Counter and Johnson Counter 1. Contents VHDL Code for 4-bit Ring Counter and Johnson Counter 1 Contents 1 Ring Counter 2 4-bit Ring Counter using D FlipFlop 3 Ring Counter Truth Table 4 VHDL Code for 4 bit Ring Counter 5 VHDL Testbench for 4

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-378: Computer Hardware esign Winter 26 SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted

More information

Four-Way Traffic Light Controller Designing with VHDL

Four-Way Traffic Light Controller Designing with VHDL Four-Way Traffic Light Controller Designing with VHDL Faizan Mansuri Email:11bec024@nirmauni.ac.in Viraj Panchal Email:11bec047@nirmauni.ac.in Department of Electronics and Communication,Institute of Technology,

More information

CS/EE Homework 9 Solutions

CS/EE Homework 9 Solutions S/EE 260 - Homework 9 Solutions ue 4/6/2000 1. onsider the synchronous ripple carry counter on page 5-8 of the notes. Assume that the flip flops have a setup time requirement of 2 ns and that the gates

More information

EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII

EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII TITLE: VHDL IMPLEMENTATION OF ALGORITHMIC STATE MACHINES OBJECTIVES: VHDL implementation

More information

CHAPTER FIVE - Flip-Flops and Related Devices

CHAPTER FIVE - Flip-Flops and Related Devices CHAPTER FIVE - Flip-Flops and Related Devices 5.1 5.2 Same Q output as 5.1. 5.3 5.4 57 5.5 One possibility: 5.6 The response shown would occur If the NAND latch is not working as a Flip-Flop. A permanent

More information

DIGITAL DESIGN WITH SM CHARTS

DIGITAL DESIGN WITH SM CHARTS DIGITAL DESIGN WITH SM CHARTS By: Dr K S Gurumurthy, UVCE, Bangalore e-notes for the lectures VTU EDUSAT Programme Dr. K S Gurumurthy, UVCE, Blore Page 1 19/04/2005 DIGITAL DESIGN WITH SM CHARTS The utility

More information

First Name: Last Name: Lab Cover Page. Teaching Assistant to whom you are submitting

First Name: Last Name: Lab Cover Page. Teaching Assistant to whom you are submitting Student Information First Name School of Computer Science Faculty of Engineering and Computer Science Last Name Student ID Number Lab Cover Page Please complete all (empty) fields: Course Name: DIGITAL

More information

Arria V Timing Optimization Guidelines

Arria V Timing Optimization Guidelines Arria V Timing Optimization Guidelines AN-652-1. Application Note This document presents timing optimization guidelines for a set of identified critical timing path scenarios in Arria V FPGA designs. Timing

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions CSE 260 Digital Computers: Organization and Logical Design Midterm Solutions Jon Turner 2/28/2008 1. (10 points). The figure below shows a simulation of the washu-1 processor, with some items blanked out.

More information

Written exam IE1204/5 Digital Design Friday 13/

Written exam IE1204/5 Digital Design Friday 13/ Written exam IE204/5 Digital Design Friday 3/ 207 08.00-2.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandqvist tel 08-7904487 Teacher: Valhallavägen, Ahmed Hemani 08-7904469

More information

Synthesis Minimizations and Mesh Algorithm Selection: An Extension of the Ultrasonic 3D Camera

Synthesis Minimizations and Mesh Algorithm Selection: An Extension of the Ultrasonic 3D Camera Syracuse University SURFACE Syracuse University Honors Program Capstone Projects Syracuse University Honors Program Capstone Projects Spring 5-1-2009 Synthesis Minimizations and Mesh Algorithm Selection:

More information

EECS-140/141 Introduction to Digital Logic Design Lecture 7:Sequential Logic Basics

EECS-140/141 Introduction to Digital Logic Design Lecture 7:Sequential Logic Basics EECS-140/141 Introduction to Digital Logic Design Lecture 7:Sequential Logic Basics I. OVERVIEW I.A Combinational vs. Sequential Logic Combinational Logic (everything so far): Outputs depend entirely on

More information

Adder Comparator 7 segment display Decoder for 7 segment display D flip flop Analysis of sequential circuits. Sequence detector

Adder Comparator 7 segment display Decoder for 7 segment display D flip flop Analysis of sequential circuits. Sequence detector Lecture 3 Adder Comparator 7 segment display Decoder for 7 segment display D flip flop Analysis of sequential circuits Counter Sequence detector TNGE11 Digitalteknik, Lecture 3 1 Adder TNGE11 Digitalteknik,

More information

SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS)

SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS) SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS) Recognized by AICTE, NBA, NAAC and Govt. of A.P. Affiliated by J.N.T.U.A., ANANTAPUR R.V.S. Nagar, Tirupati Road, CHITTOOR- 517127 DEPARTMENT

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

6.111 Lecture # 19. Controlling Position. Some General Features of Servos: Servomechanisms are of this form:

6.111 Lecture # 19. Controlling Position. Some General Features of Servos: Servomechanisms are of this form: 6.111 Lecture # 19 Controlling Position Servomechanisms are of this form: Some General Features of Servos: They are feedback circuits Natural frequencies are 'zeros' of 1+G(s)H(s) System is unstable if

More information

Lecture 3: Logic circuit. Combinational circuit and sequential circuit

Lecture 3: Logic circuit. Combinational circuit and sequential circuit Lecture 3: Logic circuit Combinational circuit and sequential circuit TRAN THI HONG HONG@IS.NAIST.JP Content Lecture : Computer organization and performance evaluation metrics Lecture 2: Processor architecture

More information

Finite State Machines CS 64: Computer Organization and Design Logic Lecture #16

Finite State Machines CS 64: Computer Organization and Design Logic Lecture #16 Finite State Machines CS 64: Computer Organization and Design Logic Lecture #16 Ziad Matni Dept. of Computer Science, UCSB Lecture Outline Review of Latches vs. FFs Finite State Machines Moore vs. Mealy

More information

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 7/11/2011

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 7/11/2011 Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 7//2 Ver. 72 7//2 Computer Engineering What is a Sequential Circuit? A circuit consists of a combinational logic circuit and internal memory

More information

Introduction to Digital Signal Processing

Introduction to Digital Signal Processing A-PDF Split DEMO : Purchase from www.a-pdf.com to remove the watermark CHAPTER 7 Introduction to Digital Signal Processing 7.1 Introduction The processing of analogue electrical signals and digital data

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/21 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad University of California,

More information

Configuring CorePWM Using RTL Blocks

Configuring CorePWM Using RTL Blocks Application Note AC284 Introduction This application note describes the configuration of CorePWM using custom RTL blocks. A design example is provided to illustrate how a simple finite state machine (FSM)

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

Digital Circuits Laboratory LAB no. 12. REGISTERS

Digital Circuits Laboratory LAB no. 12. REGISTERS REGISTERS are sequential logic circuits that store and/or shift binary sequences. can be classified in: memory registers (with parallel load) - latch shift registers (with serial load) combined registers

More information

Hardware Design with VHDL Design Example: UART ECE 443

Hardware Design with VHDL Design Example: UART ECE 443 UART Universal Asynchronous Receiver and Transmitter A serial communication protocol that sends parallel data through a serial line. Typically used with RS-232 standard. Your FPGA boards have an RS-232

More information

Chapter 9. sequential logic technologies

Chapter 9. sequential logic technologies Chapter 9. sequential logic technologies In chapter 4, we looked at diverse implementation technologies for combinational logic circuits: random logic, regular logic, programmable logic. The similar variants

More information

Chapter 9. sequential logic technologies

Chapter 9. sequential logic technologies Chapter 9. sequential logic technologies In chapter 4, we looked at diverse implementation technologies for combinational logic circuits: random logic, regular logic, programmable logic. Similarly, variations

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

Senior Capstone Project Proposal Reconfigurable FPGA Implementation Of Digital Communication System

Senior Capstone Project Proposal Reconfigurable FPGA Implementation Of Digital Communication System Senior Capstone Project Proposal Reconfigurable FPGA Implementation Project Members Steve Koziol Josh Romans Project Advisor Dr T.L. Stewart Bradley University Department of Electrical & Computer Engineering

More information

Topics. FPGA Design EECE 277. Combinational Logic Blocks. From Last Time. Multiplication. Dr. William H. Robinson February 25, 2005

Topics. FPGA Design EECE 277. Combinational Logic Blocks. From Last Time. Multiplication. Dr. William H. Robinson February 25, 2005 FPGA Design EECE 277 Combinational Logic Blocks Dr. William H. Robinson Februar5, 25 http://eecs.vanderbilt.edu/courses/eece277/ Topics Computer, compute to the last digit the value o pi. Mr. Spock (Star

More information

FPGA & Pulse Width Modulation. Digital Logic. Programing the FPGA 7/23/2015. Time Allotment During the First 14 Weeks of Our Advanced Lab Course

FPGA & Pulse Width Modulation. Digital Logic. Programing the FPGA 7/23/2015. Time Allotment During the First 14 Weeks of Our Advanced Lab Course 1.9.8.7.6.5.4.3.2.1.5 1 1.5 2 2.5 3 3.5 4 4.5 5 5.5 6 6.5 DAC Vin 7/23/215 FPGA & Pulse Width Modulation Allotment During the First 14 Weeks of Our Advanced Lab Course Sigma Delta Pulse Width Modulated

More information

Multiplier and Accumulator Using Csla

Multiplier and Accumulator Using Csla IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 1, Ver. 1 (Jan - Feb. 2015), PP 36-44 www.iosrjournals.org Multiplier and Accumulator

More information

Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL

Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL Digital Circuits II Lecture 6 Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL References (Text Book): 1) Digital Electronics, 9 th editon,

More information

Daisy II. By: Steve Rothen EEL5666 Spring 2002

Daisy II. By: Steve Rothen EEL5666 Spring 2002 Daisy II By: Steve Rothen EEL5666 Spring 2002 Table of Contents Abstract. 3 Executive Summary. 4 Introduction.. 4 Integrated System 5 Mobile Platform... 8 Actuation....9 Sensors.. 10 Behaviors.. 13 Experimental

More information

Computer Architecture and Organization:

Computer Architecture and Organization: Computer Architecture and Organization: L03: Register transfer and System Bus By: A. H. Abdul Hafez Abdul.hafez@hku.edu.tr, ah.abdulhafez@gmail.com 1 CAO, by Dr. A.H. Abdul Hafez, CE Dept. HKU Outlines

More information

DELD MODEL ANSWER DEC 2018

DELD MODEL ANSWER DEC 2018 2018 DELD MODEL ANSWER DEC 2018 Q 1. a ) How will you implement Full adder using half-adder? Explain the circuit diagram. [6] An adder is a digital logic circuit in electronics that implements addition

More information

DIGITAL ELECTRONICS QUESTION BANK

DIGITAL ELECTRONICS QUESTION BANK DIGITAL ELECTRONICS QUESTION BANK Section A: 1. Which of the following are analog quantities, and which are digital? (a) Number of atoms in a simple of material (b) Altitude of an aircraft (c) Pressure

More information

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 UNIVERSITY OF BOLTON [EES04] SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 INTERMEDIATE DIGITAL ELECTRONICS AND COMMUNICATIONS MODULE NO: EEE5002

More information

Page 1. Last time we looked at: latches. flip-flop

Page 1. Last time we looked at: latches. flip-flop Last time we looked at: latches flip flops We saw that these devices hold a value depending on their inputs. A data input value is loaded into the register on the rise of the edge. Some circuits have additional

More information

Implementing Multipliers with Actel FPGAs

Implementing Multipliers with Actel FPGAs Implementing Multipliers with Actel FPGAs Application Note AC108 Introduction Hardware multiplication is a function often required for system applications such as graphics, DSP, and process control. The

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/15 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad University of California,

More information

ICS 151 Final. (Last Name) (First Name)

ICS 151 Final. (Last Name) (First Name) ICS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 19 pages including this cover and 3 blank pages. 2. Write down your Student-Id

More information

IES Digital Mock Test

IES Digital Mock Test . The circuit given below work as IES Digital Mock Test - 4 Logic A B C x y z (a) Binary to Gray code converter (c) Binary to ECESS- converter (b) Gray code to Binary converter (d) ECESS- To Gray code

More information

Learning Outcomes. Spiral 2 3. DeMorgan Equivalents NEGATIVE (ACTIVE LO) LOGIC. Negative Logic One hot State Assignment System Design Examples

Learning Outcomes. Spiral 2 3. DeMorgan Equivalents NEGATIVE (ACTIVE LO) LOGIC. Negative Logic One hot State Assignment System Design Examples 2-3. Learning Outcomes 2-3.2 Spiral 2 3 Negative Logic One hot State Assignment System Design Examples I understand the active low signal convention and how to interface circuits that use both active high

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

Solutions. ICS 151 Final. Q1 Q2 Q3 Q4 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature

Solutions. ICS 151 Final. Q1 Q2 Q3 Q4 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature ICS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 19 pages including this cover and 3 blank pages. 2. Write down your Student-Id

More information

Controller Implementation--Part I. Cascading Edge-triggered Flip-Flops

Controller Implementation--Part I. Cascading Edge-triggered Flip-Flops Controller Implementation--Part I Alternative controller FSM implementation approaches based on: Classical Moore and Mealy machines Time state: Divide and Counter Jump counters Microprogramming (ROM) based

More information

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice ECOM 4311 Digital System Design using VHDL Chapter 9 Sequential Circuit Design: Practice Outline 1. Poor design practice and remedy 2. More counters 3. Register as fast temporary storage 4. Pipelined circuit

More information

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 6/30/2008

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 6/30/2008 Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 6/3/28 6/3/28 Computer Engineering Basic Element for Sequential CircuitsSR Latch Latch Store one-bit information (two states of and ) Two inputs,

More information

Midterm Exam ECE 448 Spring Thursday Section. (15 points)

Midterm Exam ECE 448 Spring Thursday Section. (15 points) Midterm Exam ECE 448 Spring 2012 (15 points) Instructions: Zip all your deliverables into an archive .zip and submit it through Blackboard no later than Thursday, March 8, 10:15 PM EST. 1 Introduction:

More information

HDL CODE TO REALIZE ALL THE LOGIC GATES

HDL CODE TO REALIZE ALL THE LOGIC GATES Experiment 1 HDL CODE TO REALIZE ALL THE LOGIC GATES Aim: To write VHDL code for all basic gates, simulate and verify functionality, synthesize. Tools Required: 1. FPG Advantage i. Simulator: Modelsim

More information

logic system Outputs The addition of feedback means that the state of the circuit may change with time; it is sequential. logic system Outputs

logic system Outputs The addition of feedback means that the state of the circuit may change with time; it is sequential. logic system Outputs Sequential Logic The combinational logic circuits we ve looked at so far, whether they be simple gates or more complex circuits have clearly separated inputs and outputs. A change in the input produces

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

A-PDF Split DEMO : Purchase from to remove the watermark 114 FSM

A-PDF Split DEMO : Purchase from   to remove the watermark 114 FSM A-PDF Split DEMO : Purchase from www.a-pdf.com to remove the watermark 114 FSM Xilinx specific Xilinx ISE includes a utility program called StateCAD, which allows a user to draw a state diagram in graphical

More information

Clocking Schedule and Writing VHDL Programs for Synthesis

Clocking Schedule and Writing VHDL Programs for Synthesis Session 1532 Clocking Schedule and Writing VHDL Programs for Synthesis Chia-Jeng Tseng Departmentf of Electrical Engineering Bucknell University Lewisburg, Pennsylvania 17837 Abstract Most of the commercial

More information

Hardware Realization of Embedded Control Algorithm on FPGA

Hardware Realization of Embedded Control Algorithm on FPGA COMPUTATION TOOLS 1 : The Fifth International Conference on Computational Logics, Algebras, Programming, Tools, and Benchmarking Hardware Realization of Embedded Control Algorithm on FPGA Róbert Krasňanský,

More information

Digital Logic Circuits

Digital Logic Circuits Digital Logic Circuits Let s look at the essential features of digital logic circuits, which are at the heart of digital computers. Learning Objectives Understand the concepts of analog and digital signals

More information

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability?

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability? VLS esign esign for Test esign For Test What can we do to increase ability? He s dead Jim... Overview design for architectures ad-hoc, scan based, built-in in Goal: You are familiar with ability metrics

More information

MODULE-4 Memory and programmable logic

MODULE-4 Memory and programmable logic MODULE-4 Memory and programmable logic READ-ONLY MEMORY (ROM) A read-only memory (ROM) is a device that includes both the decoder and the OR gates within a single IC package. The connections between the

More information

Spec. Instructor: Center

Spec. Instructor: Center PDHonline Course E379 (5 PDH) Digital Logic Circuits Volume III Spec ial Logic Circuits Instructor: Lee Layton, P.E 2012 PDH Online PDH Center 5272 Meadow Estatess Drive Fairfax, VA 22030-6658 Phone &

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015 Field Programmable Gate Array Based Intelligent Traffic Light System Agho Osarenomase, Faisal Sani Bala, Ganiyu Bakare Department of Electrical and Electronics Engineering, Faculty of Engineering, Abubakar

More information

Brought to you by. Priti Srinivas Sajja. PS01CMCA02 Course Content. Tutorial Practice Material. Acknowldgement References. Website pritisajja.

Brought to you by. Priti Srinivas Sajja. PS01CMCA02 Course Content. Tutorial Practice Material. Acknowldgement References. Website pritisajja. Brought to you by Priti Srinivas Sajja PS01CMCA02 Course Content Tutorial Practice Material Acknowldgement References Website pritisajja.info Multiplexer Means many into one, also called data selector

More information

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER 1 ZUBER M. PATEL 1 S V National Institute of Technology, Surat, Gujarat, Inida E-mail: zuber_patel@rediffmail.com Abstract- This paper presents

More information

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC LOGIC Logic is a branch of math that tries to look at problems in terms of being either true or false. It will use a set of statements to derive new true

More information

I2C Demonstration Board I 2 C-bus Protocol

I2C Demonstration Board I 2 C-bus Protocol I2C 2005-1 Demonstration Board I 2 C-bus Protocol Oct, 2006 I 2 C Introduction I ² C-bus = Inter-Integrated Circuit bus Bus developed by Philips in the early 80s Simple bi-directional 2-wire bus: serial

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

UNIT II: Clocked Synchronous Sequential Circuits. CpE 411 Advanced Logic Circuits Design 1

UNIT II: Clocked Synchronous Sequential Circuits. CpE 411 Advanced Logic Circuits Design 1 UNIT II: Clocked Synchronous Sequential Circuits CpE 411 Advanced Logic Circuits Design 1 Unit Outline Analysis of Sequential Circuits State Tables State Diagrams Flip-flop Excitation Tables Basic Design

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R05310402 Set No. 1 1. (a) What are the parameters that are necessary to define the electrical characteristics of CMOS circuits? Mention the typical values of a CMOS NAND gate. (b) Design a CMOS

More information

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU Department of Electronics and Communications

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU Department of Electronics and Communications SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU-534007 Department of Electronics and Communications DIGITAL SIGNAL PROCESSING Lab Manual (EC - 418) For IV / IV B.E (ECE), I - Semester SIR C.R.REDDY COLLEGE

More information

Vol. 4, No. 4 April 2013 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved.

Vol. 4, No. 4 April 2013 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved. FPGA Implementation Platform for MIMO- Based on UART 1 Sherif Moussa,, 2 Ahmed M.Abdel Razik, 3 Adel Omar Dahmane, 4 Habib Hamam 1,3 Elec and Comp. Eng. Department, Université du Québec à Trois-Rivières,

More information

Computer Architecture and Organization: L08: Design Control Lines

Computer Architecture and Organization: L08: Design Control Lines Computer Architecture and Organization: L08: Design Control Lines By: A. H. Abdul Hafez Abdul.hafez@hku.edu.tr, ah.abdulhafez@gmail.com, hafez@research.iiit.ac.in 1 CAO, by Dr. A.H. Abdul Hafez, CE Dept.

More information

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

Efficient Parallel Real-Time Upsampling with Xilinx FPGAs

Efficient Parallel Real-Time Upsampling with Xilinx FPGAs Efficient Parallel eal-time Upsampling with Xilinx FPGAs by William D. ichard Associate Professor Washington University, St. Louis wdr@wustl.edu 38 Xcell Journal Fourth Quarter 2014 Here s a way to upsample

More information

Number system: the system used to count discrete units is called number. Decimal system: the number system that contains 10 distinguished

Number system: the system used to count discrete units is called number. Decimal system: the number system that contains 10 distinguished Number system: the system used to count discrete units is called number system Decimal system: the number system that contains 10 distinguished symbols that is 0-9 or digits is called decimal system. As

More information

CSE 260 Digital Computers: Organization and Logical Design. Lab 4. Jon Turner Due 3/27/2012

CSE 260 Digital Computers: Organization and Logical Design. Lab 4. Jon Turner Due 3/27/2012 CSE 260 Digital Computers: Organization and Logical Design Lab 4 Jon Turner Due 3/27/2012 Recall and follow the General notes from lab1. In this lab, you will be designing a circuit that implements the

More information

Linear Integrated Circuits

Linear Integrated Circuits Linear Integrated Circuits Single Slope ADC Comparator checks input voltage with integrated reference voltage, V REF At the same time the number of clock cycles is being counted. When the integrator output

More information

Unit-6 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION

Unit-6 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION M i c r o p r o c e s s o r s a n d M i c r o c o n t r o l l e r s P a g e 1 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION Microcomputer system design requires

More information

Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter

Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter P. K. Gaikwad Department of Electronics Willingdon College, Sangli, India e-mail: pawangaikwad2003

More information

2014 Paper E2.1: Digital Electronics II

2014 Paper E2.1: Digital Electronics II 2014 Paper E2.1: Digital Electronics II Answer ALL questions. There are THREE questions on the paper. Question ONE counts for 40% of the marks, other questions 30% Time allowed: 2 hours (Not to be removed

More information

Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic

Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic Basthana Kumari PG Scholar, Dept. of Electronics and Communication Engineering, Intell Engineering College,

More information

Data Sheet. HCTL-2000 Quadrature Decoder/Counter Interface ICs HCTL-2000, HCTL-2016, HCTL-2020

Data Sheet. HCTL-2000 Quadrature Decoder/Counter Interface ICs HCTL-2000, HCTL-2016, HCTL-2020 HCTL-2000 Quadrature Decoder/Counter Interface ICs Data Sheet HCTL-2000, HCTL-2016, HCTL-2020 Description The HCTL-2000, 2016, 2020 are CMOS ICs that perform the quadrature decoder, counter, and bus interface

More information

Design of Microprogrammed Control Units (MCU) using VHDL Description. Arvutitehnika erikusus

Design of Microprogrammed Control Units (MCU) using VHDL Description. Arvutitehnika erikusus Design of Microprogrammed Control Units (MCU) using VHDL Description Arvutitehnika erikusus 1 Hardwired control unit S5 A S6 & D Q Q D Q Q CLOCK A hardwired control unit accomplishes a conditional transfer

More information

Chapter 4: FLIP FLOPS. (Sequential Circuits) By: Siti Sabariah Hj. Salihin ELECTRICAL ENGINEERING DEPARTMENT EE 202 : DIGITAL ELECTRONICS 1

Chapter 4: FLIP FLOPS. (Sequential Circuits) By: Siti Sabariah Hj. Salihin ELECTRICAL ENGINEERING DEPARTMENT EE 202 : DIGITAL ELECTRONICS 1 Chapter 4: FLIP FLOPS (Sequential Circuits) By: Siti Sabariah Hj. Salihin ELECTRICAL ENGINEERING DEPARTMENT 1 CHAPTER 4 : FLIP FLOPS Programme Learning Outcomes, PLO Upon completion of the programme, graduates

More information

Key words: DWT, VHDL, MATLAB, Power Systems, Digital Modeling

Key words: DWT, VHDL, MATLAB, Power Systems, Digital Modeling A Comparative Study Of Matlab Results And Vhdl Analysis Of DWT or Efficient ower Systems 1* Meha Sharma, 2 Rewa Sharma 1* Asst.rof., School of Engineering and Technology,Ansal University,Gurgaon 2 Lecturer,

More information

IMPLEMENTATION OF UNSIGNED MULTIPLIER USING MODIFIED CSLA

IMPLEMENTATION OF UNSIGNED MULTIPLIER USING MODIFIED CSLA IMPLEMENTATION OF UNSIGNED MULTIPLIER USING MODIFIED CSLA Sooraj.N.P. PG Scholar, Electronics & Communication Dept. Hindusthan Institute of Technology, Coimbatore,Anna University ABSTRACT Multiplications

More information

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST ǁ Volume 02 - Issue 01 ǁ January 2017 ǁ PP. 06-14 Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST Ms. Deepali P. Sukhdeve Assistant Professor Department

More information

ENGG1015: lab 3. Sequential Logic

ENGG1015: lab 3. Sequential Logic ENGG1015: lab 3 Sequential Logic 1 st Semester 2012-13 This lab explores the world of sequential logic design. By the end of this lab, you will have implemented a working prototype of a Ball ounter that

More information

DS1720 ECON-Digital Thermometer and Thermostat

DS1720 ECON-Digital Thermometer and Thermostat www.maxim-ic.com FEATURES Requires no external components Supply voltage range covers from 2.7V to 5.5V Measures temperatures from 55 C to +125 C in 0.5 C increments. Fahrenheit equivalent is 67 F to +257

More information

Topics Introduction to Microprocessors

Topics Introduction to Microprocessors Topics 2244 Introduction to Microprocessors Chapter 8253 Programmable Interval Timer/Counter Suree Pumrin,, Ph.D. Interfacing with 886/888 Programming Mode 2244 Introduction to Microprocessors 2 8253/54

More information

Generation of Digital System Test Patterns Based on VHDL Simulations

Generation of Digital System Test Patterns Based on VHDL Simulations POSTER 2006, PRAGUE MAY 18 1 Generation of Digital System Test Patterns Based on VHDL Simulations Miljana SOKOLOVIĆ 1, Andy KUIPER 2 1 LEDA laboratory, aculty of Electronic Engineering, University of Niš,

More information

Lecture 20: Several Commercial Counters & Shift Register

Lecture 20: Several Commercial Counters & Shift Register EE2: Switching Systems Lecture 2: Several Commercial Counters & Shift Register Prof. YingLi Tian Nov. 27, 27 Department of Electrical Engineering The City College of New York The City University of New

More information