SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU Department of Electronics and Communications

Size: px
Start display at page:

Download "SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU Department of Electronics and Communications"

Transcription

1 SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU Department of Electronics and Communications DIGITAL SIGNAL PROCESSING Lab Manual (EC - 418) For IV / IV B.E (ECE), I - Semester SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU Dept of Electronics and Communications Engg., 1

2 Digital Signal Processing Lab LIST OF EXPERIMENTS MAT LAB EXPERIMENTS: 1. GENERATION OF DISCRETE TIME SIGNALS 2. CONVOLUTION OF DISCRETE TIME SIGNALS 3. FREQUENCY RESPONSE OF DISCRETE TIME SYSTEMS 4. DISCRETE TIME FOURIER TRANSFORM 5. COMPUTATION OF DFT 6. DESIGN OF IIR DIGITAL FILTER 7. DESIGN OF FIR DIGITAL FILTER VHDL EXPERMENTS: 1. SIMULATION OF DECODER, ENCODER, MULTIPLEXER AND DEMULTIPLEXER 2. SIMULATION OF PARALLEL ADDER AND BCD ADDER 3. SIMULATION OF BCD TO SEVEN SEGMENT DECODER AND B-G & G-B CODE CONVERTERS 4. SIMULATION OF FLIP-FLOPS 5. SIMULATION OF SYNCHRONOUS UP/DOWN AND BCD COUNTERS 6. SIMULATION OF SHIFT REGISTER AND BARREL SHIFTER REGISTER 7. FSM IMPLEMENTATION OF GRAY CODE COUNTER AND SEQUENCE DETECTOR Dept of Electronics and Communications Engg., 2

3 1. GENERATION OF UNIT IMPULSE,UNIT STEP, UNIT RAMP, REAL EXPONENTIAL AND SINUSOIDAL SEQUENCES Dept of Electronics and Communications Engg., 3

4 GENERATION OF DISCRETE-TIME SIGNALS AIM: Write a MATLAB program for the generation of unit impulse, unit step, ramp, exponential and Sinusoidal sequences. PROGRAM: %program for the generation of unit impulse sequence [δ (n)] K=input ('enter the length of sequence of K :'); n= - K: 1: K; x= [zeros (1, K), ones (1, 1), zeros (1, K)]; subplot (5, 1, 1); stem (n, x); xlabel('time index'); ylabel ('s(n)'); title ('unit impulse'); %program for the generation of unit step sequence [u (n)] L=input ('enter length of sequence of L :'); n= - L: 1: L; x= [zeros (1, L), ones (1, L+1)]; subplot (5,1,2); stem (n,x); xlabel('time index'); ylabel('u(n)'); title ('unit step'); %program for the generation of exponential sequence x (n) =c (a) n M=input ('enter sequence length of M :'); n=0: M; B=input ('enter value of B :'); d=b; C=input ('enter a value of C :'); a=c; x=d*(a. ^n); subplot (5,1,3); stem (n,x); xlabel('time index'); ylabel('e(n)'); Dept of Electronics and Communications Engg., 4

5 title ('exponential'); %program for the generation of sinusoidal sequence N=input ('enter the length of N :'); n=0: N; x=sin (2*pi/10*n); subplot (5,1,4); stem (n,x); xlabel('time index'); ylabel('r(n)'); title ('sinusoidal'); %program for the generation of ramp sequence O=input ('enter sequence length of O:'); l=0: O; x=l; subplot (5,1,5); stem (l,x); xlabel('time index'); ylabel('z(n)'); title ('ramp function'); RESULT: Given sequences are generated and plotted using MATLAB. VIVA QUESTIONS: 1. What is the use of stem command? 2. What is the use of xlabel command? 3. What is the use of ylabel command? 4. What is the use of subplot command? 5. What is the use of title command? Dept of Electronics and Communications Engg., 5

6 Dept of Electronics and Communications Engg., 6

7 2. LINEAR CONVOLUTION OF SEQUENCES Dept of Electronics and Communications Engg., 7

8 LINEAR CONVOLUTION OF SEQUENCES AIM: Write a MATLAB program to find the linear convolution of two sequences x (n) and h (n) PROGRAM: %program for the generation of first sequence [x (n)] N=input ('enter length of the first sequence :'); n=-n: 1: N; x=input ('enter the first sequence :'); subplot (3,1,1); stem (n,x); xlabel('time index'); ylabel('x(n)'); title ('1stinputsequence'); %program for the generation of second sequence [h (n)] M=input ('enter length of the second sequence :'); k=-m: 1: M; h=input ('enter the second sequence :'); subplot (3,1,2); stem (k,h); xlabel('time index'); ylabel('h(k)'); title ('2ndinputsequence'); %program for the generation of convolved sequence [y(n)=x(n)*h(n)] p= (-N-M):1 :( M+N); y=conv(x, h); subplot (3,1,3); stem (p,y); xlabel('time index'); ylabel('y(p)'); title ('convolution'); RESULT: Linear convolution of two sequences x (n) and h (n) are determined using MATLAB. Dept of Electronics and Communications Engg., 8

9 VIVA QUESTIONS: 1. What is the use of input command? 2. What is the use of length command? 3. What is the use of conv function? 4. What are the uses of MATLAB? 5. What are the different toolboxes in MATLAB? Dept of Electronics and Communications Engg., 9

10 3. FREQUENCY RESPONSE OF DISCRETE-TIME SYSTEMS Dept of Electronics and Communications Engg., 10

11 FREQUENCY RESPONSE OF DISCRETE TIME SYSTEMS AIM: Write MATLAB program to plot the frequency response of systems. PROGRAM: 1. To plot the frequency response of the first order system y (n)-0.8y (n-1) =x (n) Clear all; b= [1]; a= [1, -0.8]; w = - (2*pi): :( 2*pi); [h]=freqz (b, a, w); subplot (2,1,1); plot (w/pi,abs(h)); title ('frequency response in pi'); xlabel('normalised frequency'); ylabel('magnitude response'); subplot (2,1,2); plot (w/pi,angle(h)); xlabel ('normalised frequency'); ylabel ('phase response'); RESULT: Frequency response of discrete time systems are determined and plotted using MATLAB. VIVA QUESTIONS: 1. What is the use of clear all command? 2. What is the use of freqz function? 3. What is the use of abs function? 4. What is the use of angle function? 5. What is the use of grid command? Dept of Electronics and Communications Engg., 11

12 Dept of Electronics and Communications Engg., 12

13 4. DISCRETE - TIME FOURIER TRANSFORM Dept of Electronics and Communications Engg., 13

14 DISCRETE - TIME FOURIER TRANSFORM AIM: Write a MATLAB program to find the DTFT of the given sequence x (n) = (-0.9) n, For -10<=n<=10 PROGRAM: n=-10:10; x= (-0.9). ^n; k=-200:200; w= (pi/100)*k; x=x*(exp (-j*(pi/100)*(n'*k))); magx =abs(x); angx =angle(x); subplot (2,1,1); plot (w/pi,magx);grid; xlabel ('frequency in pi'); ylabel('modx'); title ('magnitude part'); subplot (2,1,2); plot (w/pi,angx/pi);grid; xlabel ('frequency in pi'); ylabel ('phase part'); RESULT: DTFT of the given sequence is determined and plotted using MATLAB. VIVA QUESTIONS: 1. What is the use of freqspace function? 2. What is the use of impz function? 3. What is the use of phasez function? 4. What is the use of stepz function? 5. What is the use of phasedelay function? Dept of Electronics and Communications Engg., 14

15 Dept of Electronics and Communications Engg., 15

16 5. COMPUTATION OF DFT Dept of Electronics and Communications Engg., 16

17 COMPUTATION OF DFT AIM: Write a MATLAB program to compute the DFT of the given sequence and plot the magnitude and phase response. PROGRAM: %DFT using FFT Clear all; N=input ('enter length of FFT :'); k=0: N-1; x=input ('enter length of sequence :'); XK=fft(x, N); magxk=abs(xk); angxk=angle(xk); subplot (2,1,1); stem (k,magxk); xlabel ('k'); ylabel ('real part of XK'); title ('magnitude part'); subplot (2,1,2); stem (k,angxk); xlabel ('k'); ylabel ('imaginary part of XK'); title ('phase part'); RESULT: DFT of the given sequence is determined and plotted using MATLAB. Dept of Electronics and Communications Engg., 17

18 VIVA QUESTIONS: 1. What is the use of dftmtx function? 2. What is the use of dct function? 3. What is the use of fft function? 4. What is the use of fft2 function? 5. What is the use of fftshift function? Dept of Electronics and Communications Engg., 18

19 6. DESIGN OF IIR DIGITAL FILTERS Dept of Electronics and Communications Engg., 19

20 DESIGN OF BUTTERWORTH LOW PASS FILTER AIM: Write a MATLAB program to design a Butterworth low pass digital filter for the given specifications %To design a Butterworth low pass filter for the given specifications clear all; alphap=4; alphas=30; fp=400; fs=800; F=2000; omp=2*fp/f; oms=2*fs/f; % Pass band attenuation in db % Stop band attenuation in db % pass band frequency in Hz % stop band frequency in Hz % Sampling frequency in Hz %To find cutoff frequency and order of the filter [n,wn]=buttord(omp,oms,alphap,alphas) % system function of the filter [b,a]=butter (n, wn) w=0:.01:pi; [h,om]=freqz (b,a,w,'whole'); m=20*log10(abs(h)); an=angle(h); subplot(2,1,1);plot(om/pi,m);grid; ylabel('gain in db'); xlabel('normalised frequency'); title('magnitude response'); subplot(2,1,2);plot (om/pi,an);grid ylabel('phase in radians'); xlabel('normalised frequency'); title('phase response'); Dept of Electronics and Communications Engg., 20

21 RESULT: Butterworth low pass digital filter is designed with the given specifications using MATLAB. VIVA QUESTION: 1. What is the use of buttord function? 2. What is the use of butter function? 3. What is the use of freqz function? 4. What is the use of residuez function? 5. What is the use of zplane function? Dept of Electronics and Communications Engg., 21

22 DESIGN OF BUTTERWORTH HIGH PASS FILTER AIM: Write a MATLAB program to design a Butterworth High pass digital filter for the given specifications %To design a Butterworth high pass filter for the given specifications clear all; alphap=4; %Pass band attenuation in db alphas=30; %Stop band attenuation in db fs=400; %pass band frequency in Hz fp=800; %stop band frequency in Hz F=2000; %Sampling frequency in Hz omp=2*fp/f; oms=2*fs/f; %To find cutoff frequency and order of the filter [n,wn]=buttord(omp,oms,alphap,alphas) % system function of the filter [b,a]=butter(n,wn,'high') w=0:.01:pi; [h,om]=freqz(b,a,w); m=20*log10(abs(h)); an=angle(h); subplot(2,1,1);plot(om/pi,m);grid; ylabel('gain in db'); xlabel('normalised frequency'); subplot(2,1,2);plot(om/pi,an);grid; ylabel('phase in radians'); xlabel('normalised frequency'); Dept of Electronics and Communications Engg., 22

23 RESULT: Butterworth High pass digital filter is designed with the given specifications using MATLAB. VIVA QUESTIONS: 1.What is the use of real function? 2.What is the use of freqs function? 3.What is the use of poly function? 4.What is the use of roots function? 5.What is the use of ceil function? Dept of Electronics and Communications Engg., 23

24 DESIGN OF BUTTERWORTH BAND PASS FILTER AIM: Write a MATLAB program to design a Butterworth Band pass digital filter for the given specifications %To design a Butterworth Bandpass filter for the given specifications clear all; alphap=2; %Passband attenuation in db alphas=20; %Stopband attenuation in db wp=[.2*pi,.4*pi]; %passband frequency in radians ws=[.1*pi,.5*pi]; %stopband frequency in radians %To find cutoff freency and order of the filter [n,wn]=buttord(wp/pi,ws/pi,alphap,alphas) % system function of the filter [b,a]=butter(n,wn) w=0:.01:pi; [h,ph]=freqz(b,a,w); m=20*log(abs(h)); an=angle(h); subplot(2,1,1);plot(ph/pi,m);grid; ylabel('gain in db'); xlabel('normalised frequency'); subplot(2,1,2);plot (ph/pi,an);grid ylabel('phase in radians'); xlabel('normalised freuency'); RESULT: Butterworth Band pass digital filter is designed with the given specifications using MATLAB. Dept of Electronics and Communications Engg., 24

25 VIVA QUESTION: 1.What is the use of fliplr function? 2.What is the use of dfs function? 3.What is the use of dir2cas function? 4.What is the use of cas2dir function? 5.What is the use of dir2par function? Dept of Electronics and Communications Engg., 25

26 DESIGN OF CHEBYSHEV LOW PASS FILTER AIM: Write a MATLAB program to design a Chebyshev low pass digital filter for the given specifications %To design a chebyshev type I lowpass filter for the given specifications clear all; alphap=1; %Passband attenuation in db alphas=15; %Stopband attenuation in db wp=.2*pi; %passband frequency in radians ws=.3*pi; %stopband frequency in radians %To find cutoff freency and order of the filter [n,wn]=cheb1ord(wp/pi,ws/pi,alphap,alphas) % system function of the filter [b,a]=cheby1(n,alphap,wn) w=0:.01:pi; [h,ph]=freqz(b,a,w); m=20*log(abs(h)); an=angle(h); subplot(2,1,1);plot(ph/pi,m);grid; ylabel('gain in db');xlabel('normalised frequency'); subplot(2,1,2);plot(ph/pi,an);grid ylabel('phase in radians');xlabel('normalised freuency'); RESULT: chebyshev low pass digital filter is designed with the given specifications using MATLAB. Dept of Electronics and Communications Engg., 26

27 VIVA QUESTION: 1.What is the use of cheb1ord function? 2.What is the use of cheby1 function? 3.What is the use of cheby2 function? 4.What is the use of cheb2ord function? 5.What is the use of chebiap function? Dept of Electronics and Communications Engg., 27

28 DESIGN OF CHEBYSHEV BAND PASS FILTER AIM: Write a MATLAB program to design a Chebyshev Band pass digital filter for the given specifications %To design a chebyshev type I band pass filter for the given specifications clear all; alphap=2; %Passband attenuation in db alphas=20; %Stopband attenuation in db wp=[.2*pi,.4*pi]; %passband frequency in radians ws=[.1*pi,.5*pi]; %stopband frequency in radians %To find cutoff freency and order of the filter [n,wn]=buttord(wp/pi,ws/pi,alphap,alphas) % system function of the filter [b,a]=cheby1(n,alphap,wn) w=0:.01:pi; [h,ph]=freqz(b,a,w); m=20*log10(abs(h)); an=angle(h); subplot(2,1,1);plot(ph/pi,m);grid; ylabel('gain in db');xlabel('normalised frequency'); subplot(2,1,2);plot(ph/pi,an);grid; ylabel('phase in radians');xlabel('normalised freuency'); RESULT: chebyshev band pass digital filter is designed with the given specifications using MATLAB. Dept of Electronics and Communications Engg., 28

29 VIVA QUESTIONS: 1.What is the use of cheby1(n,rp,wn, bandpass ) function? 2.What is the use of cheby1(n,rp,wn, high ) function? 3.What is the use of cheby2ord function? 4.What is the use of cheby2 function? 5.What is the use of ellipord function? Dept of Electronics and Communications Engg., 29

30 7. DESIGN OF FIR DIGITAL FILTERS Dept of Electronics and Communications Engg., 30

31 DESIGN OF LOW PASS FIR FILTER AIM: Write a MATLAB program to design a Low pass FIR filter using Rectangular and Hamming windows. %To design a 25-tap lowpass filter with cutoff frequency of 0.5pi radians using % rectangular and Hamming windows and plot their frequency response clear all; wc=.5*pi; N=25;alpha=(N-1)/2;eps=.001; n=0:1:n-1; hd=sin(wc*(n-alpha+eps))./(pi*(n-alpha+eps)); wr=boxcar(n);%rectangular window sequence hn=hd.*wr';%filter coeffcients w=0:.01:pi; h=freqz(hn,1,w); plot(w/pi,abs(h)); hold on wh=hamming(n);%hamming window sequence hn=hd.*wh';%filter coefficients w=0:.01:pi; h=freqz(hn,1,w); plot(w/pi,abs(h),'-.');grid; xlabel('normalised frequency\omega/\pi'); ylabel('magnitude');hold off RESULT: Low pass FIR filter is designed using Rectangular and Hamming windows in MATLAB. Dept of Electronics and Communications Engg., 31

32 VIVA QUESTIONS: 1.What is the use of boxcar function? 2.What is the use of hamming function? 3.What is the use of hanning function? 4.What is the use of fir1 function? 5.What is the use of fir2 function? Dept of Electronics and Communications Engg., 32

33 DESIGN OF BAND PASS FIR FILTER AIM: Write a MATLAB program to design a Band pass FIR filter using Rectangular and Hamming windows. %To design a 25-tap bandpass filter with cutoff frequency %.25pi and.75pi radians using rectanglur and Hamming windows % and plot their frequency reponse clear all; wc1=.25*pi;wc2=.75*pi;% Cutoff frequencies N=25;a=(N-1)/2; eps=.001;%to avoid indeterminate form n=0:1:n-1; hd=(sin(wc2*(n--a+eps))-sin(wc1*(n-a+eps)))./(pi*(n-a+eps)); wr=boxcar(n);%rectangular window sequence hn=hd.*wr';%filter coeffcients w=0:.01:pi; h=freqz(hn,1,w); plot(w/pi,abs(h)); hold on wh=hamming(n);%hamming window sequence hn=hd.*wh';%filter coefficients w=0:.01:pi; h=freqz(hn,1,w); plot(w/pi,abs(h),'-.');grid; xlabel('normalised frequency\omega/\pi'); ylabel('magnitude');hold off RESULT: Band pass FIR filter is designed using Rectangular and Hamming windows in MATLAB. Dept of Electronics and Communications Engg., 33

34 VIVA QUESTIONS: 1.What is the use of hold on function? 2.What is the use of hold off function? 3.What is the use of wvtool function? 4.What is the use of rectwin function? 5.What is the use of triang function? Dept of Electronics and Communications Engg., 34

35 1. SIMULATION OF DECODER,ENCODER, MULTIPLEXER AND DEMULTIPLEXER Dept of Electronics and Communications Engg., 35

36 Dept of Electronics and Communications Engg., 36

37 SIMULATION OF DECODER AIM: Write VHDL source code to simulate DECODER PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity decoder4 is Port ( a : in std_logic_vector(1 downto 0); d : out std_logic_vector(3 downto 0)); end decoder4; architecture decoder4 of decoder4 is begin process (a) begin case a is when "00" => d<="0001"; when "01" => d<="0010"; when "10" => d<="0100"; when "11" => d<="1000"; when others => d<="zzzz"; end case; end process; end decoder4; RESULT: DECODER is simulated using VHDL source code. Dept of Electronics and Communications Engg., 37

38 Dept of Electronics and Communications Engg., 38

39 SIMULATION OF ENCODER AIM: Write VHDL source code to simulate ENCODER PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity encoder4 is Port ( d : in std_logic_vector(3 downto 0); a : out std_logic_vector(1 downto 0)); end encoder4; architecture encoder4 of encoder4 is begin a <= "00" when d="0001" else "01" when d="0010" else "10" when d="0100" else "11" when d="1000" else ZZ ; end encoder4; RESULT: ENCODER is simulated using VHDL source code. Dept of Electronics and Communications Engg., 39

40 Dept of Electronics and Communications Engg., 40

41 SIMULATION OF MULTIPLEXER AIM: Write VHDL source code to simulate MULTIPLEXER PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity mux4 is Port (i: in std_logic_vector (3 downto 0); a, b: in std_logic; y : out std_logic); end mux4; architecture Behavioral of mux4 is signal s: std_logic_vector(1 downto 0); begin s<= a & b; with s select y <= i(0) when "00", i(1) when "01", i(2) when "10", i(3) when "11", X when others; end Behavioral; RESULT: Multiplexer is simulated using VHDL source code. Dept of Electronics and Communications Engg., 41

42 Dept of Electronics and Communications Engg., 42

43 SIMULATION OF DEMULTIPLEXER AIM: Write VHDL source code to simulate DEMULTIPLEXER PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity demux4 is Port (e,a,b : in std_logic; y : out std_logic_vector(3 downto 0)); end demux4; architecture demux4 of demux4 is begin process (e,a,b) begin if e='1' then if a='0' and b='0' then y<= "0001"; elsif a='0' and b='1' then y<= "0010"; elsif a='1' and b='0' then y<= "0100"; elsif a='1' and b='1' then y<= "1000"; end if; else y <="0000"; end if; end process; end demux4; RESULT: Demultiplexer is simulated using VHDL source code. Dept of Electronics and Communications Engg., 43

44 VIVA QUESTIONS: 1. What is VHDL? 2. What are the advantages of VHDL? 3. What are the applications of VHDL? 4. What is an Entity? 5. What are ports? 6. What is an Architecture body? 7. What are the differences between signal assignment and variable assignment statements? 8. What are the differences between inertial delay and transport delay? 9. What is the sensitivity list of process statement? 10. What is the difference between std_logic and bit type? 11. What are the different types of identifiers used in VHDL? Give examples? Dept of Electronics and Communications Engg., 44

45 2. SIMULATION OF PARALLEL ADDER AND BCD ADDER Dept of Electronics and Communications Engg., 45

46 Dept of Electronics and Communications Engg., 46

47 SIMULATION OF PARALLEL ADDER AIM: Write VHDL source code to simulate PARALLEL ADDER PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity PAFOR is Port (a: in std_logic_vector (3 downto 0); b : in std_logic_vector(3 downto 0); cin: in std_logic; sm : out std_logic_vector (3 downto 0); cout: out std_logic); end PAFOR; architecture Behavioral of PAFOR is component FA is port (a, b, cin: in std_logic; sum, carry: out std_logic); end component; signal cy: std_logic_vector (4 downto 0); begin cy (0) <= cin; g: for k in 3 downto 0 generate g1:fa port map(a(k), b(k), cy(k), sm(k), cy(k+1)); end generate g; cout<=cy (4); end Behavioral; RESULT: PARALLELADDER is simulated using VHDL source code. Dept of Electronics and Communications Engg., 47

48 Dept of Electronics and Communications Engg., 48

49 SIMULATION OF BCD ADDER AIM: Write VHDL source code to simulate BCD ADDER. PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity bcd_add is Port ( a,b : in std_logic_vector(3 downto 0); Cin : in std_logic; sum: out std_logic_vector(3 downto 0); cout :out std_logic); end bcd_add; architecture bcd_add of bcd_add is signal t,t1:std_logic_vector(4 downto 0); begin t<= 0 & a + b + cin; t 1<= t when t > else t; sum <= t1(3 downto 0); cout <= t1(4); end bcd_add; RESULT: BCD ADDER is simulated using VHDL source code. VIVA QUESTIONS: 1. What are different data objects in VHDL? 2. What are different data types in VHDL? 3. What are the different logical operators in VHDL? 4. What are the different relational operators in VHDL? 5. What are the different shift operators in VHDL? 6. What are the different Adding operators in VHDL? 7. What is the use of IF statement? Give syntax for IF statement? 8. What is the use of CASE statement? Give syntax for CASE statement? 9. What is the use of LOOP statement? Give syntax for LOOP statement? 10. What is the use of ASSERT statement? Give syntax for ASSERT statement? 11. What is the use of WAIT statement? Give syntax for WAIT statement? Dept of Electronics and Communications Engg., 49

50 3. SIMULATION OF BCD TO SEVEN SEGEMENT DECODER AND B-G & G-B CODE CONVERTERS Dept of Electronics and Communications Engg., 50

51 Dept of Electronics and Communications Engg., 51

52 SIMULATION OF BCD TO SEVEN SEGMENT DECODER AIM: write VHDL source code to simulate BCD to SEVEN SEGMENT DECODER PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity bcd_7seg is Port ( a, b, c, d : in std_logic; x : out std_logic_vector(6 downto 0)); end bcd_7seg; architecture bcd_7seg of bcd_7seg is begin process (a, b, c, d) variable s: std_logic_vector(3 downto 0); begin s := a& b& c& d; if (s="0000") then x<= " "; elsif (s="0001") then x<= " "; elsif (s="0010") then x<= " "; elsif (s="0011") then x<= " "; elsif (s="0100") then x<= " "; elsif (s="0101") then x<= " "; elsif (s<="0110") then x<= " "; elsif (s="0111") then x<= " "; elsif (s="1000") then x<= " "; Dept of Electronics and Communications Engg., 52

53 elsif (s="1001") then x<= " "; else x<= "ZZZZZZZ"; end if; end process; end bcd_7seg; RESULT: BCD to SEVEN SEGMENT DECODER is simulated using VHDL source code. Dept of Electronics and Communications Engg., 53

54 Dept of Electronics and Communications Engg., 54

55 SIMULATION OF BINARY TO GRAY CODE CONVERTER AIM: Write VHDL source code to simulate BINARY TO GRAY CODE PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity binarytogrey is Port (b: in std_logic_vector (3 downto 0) ; g: out std_logic_vector (3 downto 0)); end binarytogrey; architecture Behavioral of binarytogrey is begin g (3) <= b(3); g (2) <= b (3) xor b (2); g (1) <= b (2) xor b (1); g (0) <= b (1) xor b(0); end Behavioral; RESULT: BINARY TO GRAYCODE CONVERTER is simulated using VHDL source code. Dept of Electronics and Communications Engg., 55

56 Dept of Electronics and Communications Engg., 56

57 SIMULATION OF GRAY TO BINARY CODE CONVERTER AIM: Write VHDL source code to simulate GRAY TO BINARY CODE PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity greytobinary is Port (g: in std_logic_vector (3 downto 0) ; b: out std_logic_vector (3 downto 0)); end greytobinary; architecture dataflow of greytobinary is begin b (3) <=g (3); b (2) <=g (3) xor g(2); b (1) <=(g (3) xor g (2)) xor g (1); b (0) <=(g (3) xor g (2)) xor (g (1) xor g (0)); end dataflow; RESULT: GRAY TO BINARY CODE CONVERTER is simulated using VHDL source code. VIVA QUESTIONS: 1. What is the use of NULL statement? 2. What is the difference between EXIT and NEXT statements? 3. What is the use of REPORT statement? 4. Give syntax for component declaration? 5. Where should we declare components in an Architecture body? 6. What are actuals and formals? 7. What is component instantiation? Give syntax for Component instantiation statement? 8. What is the use of Configuration statement? 9. What is a Package? 10. What is component in VHDL? Dept of Electronics and Communications Engg., 57

58 4. SIMULATION OF FLIP FLOPS Dept of Electronics and Communications Engg., 58

59 Dept of Electronics and Communications Engg., 59

60 SIMULATION OF SR FLIP-FLOP AIM: Write VHDL source code to simulate SIMULATION OF SR FLIP-FLOP. PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity sr_ff is Port ( s,r,p,c,clk : in std_logic; q: out std_logic; nq: out std_logic ); end sr_ff; architecture Behavioral of sr_ff is begin process(s,r,p,c,clk) variable tq: std_logic : ='0'; begin if p='0' and c= 1 then tq :='1'; elsif c='0' and p= 1 then tq := '0'; elsif p='1' and c='1' then if clk ='0' and clk'event then if(s='0' and r='0') then tq: = tq; elsif(s='0' and r='1') then tq: ='0'; elsif(s='1' and r='0') then tq: ='1'; elsif(s='1' and r='1') then tq: = X ; end if; end if; else null; end if; q<=tq; nq<=not tq; end process; end Behavioral; RESULT: SR FLIP-FLOP is simulated using VHDL source code. Dept of Electronics and Communications Engg., 60

61 Dept of Electronics and Communications Engg., 61

62 SIMULATION OF JK FLIP FLOP AIM: Write VHDL source code to simulate JK FLIP-FLOP PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity JKFF is Port (j, k, p, c, clk : in std_logic; q: out std_logic; nq: out std_logic ); end JKFF; architecture Behavioral of JKFF is begin process(p, c, clk, j, k) variable tq:std_logic:='0'; begin if p='1' and c='0' then tq:= '0'; elsif p='0' and c='1' then tq:= '1'; elsif p='1' and c='1' then if(clk'event and clk='0') then if(j='0' and k='0') then tq: =tq; elsif(j='0' and k='1') then tq: ='0'; elsif(j='1' and k='0') then tq: ='1'; elsif(j='1' and k='1') then tq: =not tq; end if; end if; q<=tq; nq<=not tq; end process; end Behavioral; RESULT: JK FLIP- FLOP is simulated using VHDL source code. Dept of Electronics and Communications Engg., 62

63 Dept of Electronics and Communications Engg., 63

64 SIMULATION OF D FLIP-FLOP AIM: Write VHDL source code to simulate D FLIP-FLOP. PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity d_ff is Port ( d, p, c, clk : in std_logic; q : out std_logic; nq : out std_logic); end d_ff; architecture d_ff of d_ff is begin process (p, d, c, clk) variable tq: std_logic : ='0'; begin if p='1' and c='0' then tq:= '0'; elsif p='0' and c='1' then tq:= '1'; elsif p='1' and c='1' then if clk ='0' and clk'event then tq:= d; end if; else null; end if; q<=tq; nq<=not tq; end process; end d_ff; RESULT: D FLIP-FLOP is simulated using VHDL source code. Dept of Electronics and Communications Engg., 64

65 Dept of Electronics and Communications Engg., 65

66 SIMULATION OF T FLIP-FLOP AIM: Write VHDL source code to simulate JK Flip-Flop PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity t_ff is Port ( t, p, c, clk : in std_logic; q: out std_logic; nq :out std_logic); end t_ff; architecture Behavioral of t_ff is begin process (t, p, c, clk) variable s1: std_logic : ='0'; begin if p='1' and c='0' then s1:= '0'; elsif p='0' and c='1' then s1:= '1'; elsif p='1' and c='1' then if clk ='0' and clk'event then if(t='0') then s1:=s1; elsif(t='1') then s1:=not s1; end if; end if; else null; end if; q<=s1; nq<=not s1; end process; end Behavioral; RESULT: T Flip-Flop is simulated using VHDL Source code. Dept of Electronics and Communications Engg., 66

67 VIVA QUESTIONS: 1. Give the syntax for WAIT ON STATEMENT? 2. Give the syntax for WAIT UNTIL STATEMENT? 3. Give the syntax for WAIT FOR STATEMENT? 4. Where should we declare variables in the Process statement? 5. What is the use of Generate statement? Dept of Electronics and Communications Engg., 67

68 5. SIMULATION OF SYNCHRONOUS UP/DOWN AND BCD COUNTERS Dept of Electronics and Communications Engg., 68

69 Dept of Electronics and Communications Engg., 69

70 SIMULATION OF SYNCHRONOUS UP/DOWN COUNTER AIM: Write VHDL source code to simulate Synchronous up/down counter PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity updnsyn is Port (clk,m : in std_logic; q : out std_logic_vector(2 downto 0); nq : out std_logic_vector(2 downto 0)); end updn_syn; architecture updn_syn of updn_syn is begin process(m,clk) variable tq: std_logic_vector(2 downto 0):= 000 ; begin if clk ='0' and clk'event then if m= 0 then tq:= tq + 1; elsif m= 1 then tq := tq 1; endif; end if; q<= tq; nq<= not tq; end process; end updn_syn; RESULT: Synchronous up/down counter is simulated using VHDL Source code. Dept of Electronics and Communications Engg., 70

71 Dept of Electronics and Communications Engg., 71

72 SIMULATION OF SYNCHRONOUS BCD COUNTER AIM: Write VHDL source code to simulate SYNCHRONOUS BCD COUNTER. PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity bcd_syn is Port ( clk : in std_logic; q : out std_logic_vector(3 downto 0); nq : out std_logic_vector(3 downto 0)); end bcd_syn; architecture bcd_syn of bcd_syn is begin process(clk) variable tq: std_logic_vector(2 downto 0):= 0000 ; begin if clk ='0' and clk'event then if tq < 1010 then tq:= tq + 1; else tq := 0000 ; endif; end if; q<= tq; nq<= not tq; end process; end bcd_syn; RESULT: SYNCHRONOUS BCD COUNTER is simulated using VHDL source code. VIVA QUESTIONS: 1.What are the differences between signals and variables? 2.How do you declare a constant in VHDL? 3.Simulate the function f= (1,3,5,7) in dataflow model 4.Simulate the function f= (1,3,5,7) in behavioral model 5.Simulate the function f= (1,3,5,7) in structural model Dept of Electronics and Communications Engg., 72

73 6. SIMULATION OF SHIFT REGISTERS Dept of Electronics and Communications Engg., 73

74 Dept of Electronics and Communications Engg., 74

75 SIMULATION OF BIDIRECTIONAL SHIFT REGISTER AIM: Write VHDL source code to simulate BIDIRECTIONAL SHIFT REGISTER PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity shrg is Port ( x, m, clk : in std_logic; q : out std_logic_vector(3 downto 0); nq : out std_logic_vector(3 downto 0)); end shrg; architecture br_design of shrg is begin process variable tq : std_logic_vector(3 downto 0):= 0000 ; begin if clk= 0 and clk event then if m= 1 then tq(3):= x; tq(2):= tq(3); tq(1):= tq(2); tq(0):= tq(1); else tq(0):= x; tq(1):= tq(0); tq(2):= tq(1); tq(3):= tq(2); end if; end if; q<= tq; nq<= not tq; end process; end br_design; RESULT: BIDIRECTIONAL SHIFT REGISTER is simulated using VHDL source code. Dept of Electronics and Communications Engg., 75

76 Dept of Electronics and Communications Engg., 76

77 SIMULATION OF BARREL SHIFTER AIM: Write VHDL source code to simulate BARREL SHIFT REGISTER PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity brlshr is generic(n:positive:=8); Port ( data : in std_logic_vector(n-1 downto 0); s : in integer range 0 to n-1; Barr_out : out std_logic_vector(n-1 downto 0) ); end brlshr; architecture Behavioral of brlshr is begin process(data,s) variable temp:std_logic_vector(n-1 downto 0); begin temp:= data; for i in 1 to s loop temp:=temp(n-2 downto 0) & temp( n-1); end loop; barr_out<= temp; end process; end Behavioral; RESULT: BARREL SHIFT REGISTER is simulated using VHDL source code. VIVA QUESTIONS: 1. Give the syntax for GENERATE statement. 2. RTL stands for? 3. what are the differences among IN, OUT and INOUT types 4. Give syntax for with select statement. 5. Give syntax for when else statement. Dept of Electronics and Communications Engg., 77

78 7. FSM IMPLEMENTATION OF GRAY CODE COUNTER AND SEQUENCE DETECTOR Dept of Electronics and Communications Engg., 78

79 Dept of Electronics and Communications Engg., 79

80 SIMULATION OF FSM GRAY CODE COUNTER AIM: Write VHDL source code to simulate FSM GRAY CODE COUNTER PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity fsmgray is Port ( ck : in std_logic; q : out std_logic_vector(2 downto 0):= 000 ); end fsmgray; architecture Behavioral of fsmgray is type state_type is (s0,s1,s2,s3,s4,s5,s6,s7); signal state :state_type; begin process(ck) begin if ck='0' and ck'event then case state is when s0 => state<= s1; q<= "001"; when s1 => state<= s2; q<= "011"; when s2 => state<= s3; q<="010"; when s3 => state<= s4; q<= "110"; when s4 => state<= s5; q<= "111"; when s5 => state<= s6; q<= "101"; when s6 => state<= s7; q<= "100"; when s7 => state<= s0; q<= "000"; end case; end if; end process; end Behavioral; RESULT: FSM GRAY CODE COUNTER is simulated using VHDL source code. Dept of Electronics and Communications Engg., 80

81 SIMULATION OF FSM SEQUENCE DETECTOR Dept of Electronics and Communications Engg., 81

82 AIM: Write VHDL source code to simulate FSM SEQUENCE DETECTOR PROGRAM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity fsmdet is Port ( x,ck,resetn : in std_logic; q : out std_logic); end fsmdet; architecture Behavioral of fsmdet is type state_type is (A,B,C); signal y:state_type; begin process(ck,resetn,x) begin if resetn= '0' then y<= A; elsif ck= '0' and ck'event then case y is when A => if x='0' then y<= A; else y<=b; end if ; when B => if x='0' then y<= A; else y<= C; end if ; when C => if x='0' then y<= A; else y<= C; end if ; end case; end if; end process; q<= 1 when y= C and x= 1 else 0 ; end behavioral; RESULT: FSM SEQUENCE DETECTOR is simulated using VHDL source code. Dept of Electronics and Communications Engg., 82

Types of Control. Programmed Non-programmed. Program Counter Hardwired

Types of Control. Programmed Non-programmed. Program Counter Hardwired Lecture #5 In this lecture we will introduce the sequential circuits. We will overview various Latches and Flip Flops (30 min) Give Sequential Circuits design concept Go over several examples as time permits

More information

Window Method. designates the window function. Commonly used window functions in FIR filters. are: 1. Rectangular Window:

Window Method. designates the window function. Commonly used window functions in FIR filters. are: 1. Rectangular Window: Window Method We have seen that in the design of FIR filters, Gibbs oscillations are produced in the passband and stopband, which are not desirable features of the FIR filter. To solve this problem, window

More information

UNIVERSITI MALAYSIA PERLIS

UNIVERSITI MALAYSIA PERLIS UNIVERSITI MALAYSIA PERLIS SCHOOL OF COMPUTER & COMMUNICATIONS ENGINEERING EKT303/4 PRINCIPLES OF COMPUTER ARCHITECTURE LAB 5 : STATE MACHINE DESIGNS IN VHDL LAB 5: Finite State Machine Design OUTCOME:

More information

Digital Filter Design using MATLAB

Digital Filter Design using MATLAB Digital Filter Design using MATLAB Dr. Tony Jacob Department of Electronics and Electrical Engineering Indian Institute of Technology Guwahati April 11, 2015 Dr. Tony Jacob IIT Guwahati April 11, 2015

More information

Department of Electronics & Comm. Engineering LAB MANUAL. B.E III Year V Semester (Branch: ECE) PERI INSTITUTE OF TEHNOLOGY

Department of Electronics & Comm. Engineering LAB MANUAL. B.E III Year V Semester (Branch: ECE) PERI INSTITUTE OF TEHNOLOGY www.vidyarthiplus.com of 5. Department of Electronics & Comm. Engineering LAB MANUAL SUBJECT: EC36 - DIGITAL SIGNAL PROCESSING LAB B.E III Year V Semester (Branch: ECE) PERI INSTITUTE OF TEHNOLOGY MANNAIVAKKAM,

More information

SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS)

SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS) SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS) Recognized by AICTE, NBA, NAAC and Govt. of A.P. Affiliated by J.N.T.U.A., ANANTAPUR R.V.S. Nagar, Tirupati Road, CHITTOOR- 517127 DEPARTMENT

More information

Ring Counter. 4-bit Ring Counter using D FlipFlop. VHDL Code for 4-bit Ring Counter and Johnson Counter 1. Contents

Ring Counter. 4-bit Ring Counter using D FlipFlop. VHDL Code for 4-bit Ring Counter and Johnson Counter 1. Contents VHDL Code for 4-bit Ring Counter and Johnson Counter 1 Contents 1 Ring Counter 2 4-bit Ring Counter using D FlipFlop 3 Ring Counter Truth Table 4 VHDL Code for 4 bit Ring Counter 5 VHDL Testbench for 4

More information

LABORATORY MANUAL. PROGRAMME: B.Tech SEMESTER /YEAR:VI SUBJECT CODE: BM0312 SUBJECT NAME:BIO SIGNAL PROCESSING

LABORATORY MANUAL. PROGRAMME: B.Tech SEMESTER /YEAR:VI SUBJECT CODE: BM0312 SUBJECT NAME:BIO SIGNAL PROCESSING LABORATORY MANUAL PROGRAMME: B.Tech SEMESTER /YEAR:VI SUBJECT CODE: BM0312 SUBJECT NAME:BIO SIGNAL PROCESSING Prepared By: Name: U.Snekhalatha Designation: Assistant Professor DEPARTMENT OF BIOMEDICAL

More information

ECE 203 LAB 2 PRACTICAL FILTER DESIGN & IMPLEMENTATION

ECE 203 LAB 2 PRACTICAL FILTER DESIGN & IMPLEMENTATION Version 1. 1 of 7 ECE 03 LAB PRACTICAL FILTER DESIGN & IMPLEMENTATION BEFORE YOU BEGIN PREREQUISITE LABS ECE 01 Labs ECE 0 Advanced MATLAB ECE 03 MATLAB Signals & Systems EXPECTED KNOWLEDGE Understanding

More information

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 5

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 5 IGITAL LOGIC WITH VHL (Fall 2013) Unit 5 SEUENTIAL CIRCUITS Asynchronous sequential circuits: Latches Synchronous circuits: flip flops, counters, registers. COMBINATORIAL CIRCUITS In combinatorial circuits,

More information

Matlab Exercises. Matlab Exercises 1

Matlab Exercises. Matlab Exercises 1 Matlab Exercises Matlab Exercises for Chapter... 2 2 Matlab Exercises for Chapter 2... 7 3 Matlab Exercises for Chapter 3... 4 Matlab Exercises for Chapter 4... 3 5 Matlab Exercises for Chapter 5... 5

More information

Octave Functions for Filters. Young Won Lim 2/19/18

Octave Functions for Filters. Young Won Lim 2/19/18 Copyright (c) 2016 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

EEO 401 Digital Signal Processing Prof. Mark Fowler

EEO 401 Digital Signal Processing Prof. Mark Fowler EEO 41 Digital Signal Processing Prof. Mark Fowler Note Set #17.5 MATLAB Examples Reading Assignment: MATLAB Tutorial on Course Webpage 1/24 Folder Navigation Current folder name here Type commands here

More information

Digital Signal Processing Fourier Analysis of Continuous-Time Signals with the Discrete Fourier Transform

Digital Signal Processing Fourier Analysis of Continuous-Time Signals with the Discrete Fourier Transform Digital Signal Processing Fourier Analysis of Continuous-Time Signals with the Discrete Fourier Transform D. Richard Brown III D. Richard Brown III 1 / 11 Fourier Analysis of CT Signals with the DFT Scenario:

More information

SMS045 - DSP Systems in Practice. Lab 1 - Filter Design and Evaluation in MATLAB Due date: Thursday Nov 13, 2003

SMS045 - DSP Systems in Practice. Lab 1 - Filter Design and Evaluation in MATLAB Due date: Thursday Nov 13, 2003 SMS045 - DSP Systems in Practice Lab 1 - Filter Design and Evaluation in MATLAB Due date: Thursday Nov 13, 2003 Lab Purpose This lab will introduce MATLAB as a tool for designing and evaluating digital

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted SR Latch S R S R SR LATCH WITH NABL: R R' S R t+ t t+ t t t S S' LATCH WITH NABL: This is

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-378: Computer Hardware esign Winter 26 SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted

More information

Electrical & Computer Engineering Technology

Electrical & Computer Engineering Technology Electrical & Computer Engineering Technology EET 419C Digital Signal Processing Laboratory Experiments by Masood Ejaz Experiment # 1 Quantization of Analog Signals and Calculation of Quantized noise Objective:

More information

Topics. FPGA Design EECE 277. Combinational Logic Blocks. From Last Time. Multiplication. Dr. William H. Robinson February 25, 2005

Topics. FPGA Design EECE 277. Combinational Logic Blocks. From Last Time. Multiplication. Dr. William H. Robinson February 25, 2005 FPGA Design EECE 277 Combinational Logic Blocks Dr. William H. Robinson Februar5, 25 http://eecs.vanderbilt.edu/courses/eece277/ Topics Computer, compute to the last digit the value o pi. Mr. Spock (Star

More information

ELEC3104: Digital Signal Processing Session 1, 2013

ELEC3104: Digital Signal Processing Session 1, 2013 ELEC3104: Digital Signal Processing Session 1, 2013 The University of New South Wales School of Electrical Engineering and Telecommunications LABORATORY 4: DIGITAL FILTERS INTRODUCTION In this laboratory,

More information

GEORGIA INSTITUTE OF TECHNOLOGY. SCHOOL of ELECTRICAL and COMPUTER ENGINEERING. ECE 2026 Summer 2018 Lab #8: Filter Design of FIR Filters

GEORGIA INSTITUTE OF TECHNOLOGY. SCHOOL of ELECTRICAL and COMPUTER ENGINEERING. ECE 2026 Summer 2018 Lab #8: Filter Design of FIR Filters GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL and COMPUTER ENGINEERING ECE 2026 Summer 2018 Lab #8: Filter Design of FIR Filters Date: 19. Jul 2018 Pre-Lab: You should read the Pre-Lab section of

More information

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1 LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM K-Map for SUM: K-Map for CARRY: SUM = A B + AB CARRY = AB 22/ODD/III/ECE/DE/LM Page No. EXPT NO: DATE : DESIGN OF ADDER AND SUBTRACTOR AIM: To design

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-27: igital Logic esign Fall 27 SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted

More information

EEO 401 Digital Signal Processing Prof. Mark Fowler

EEO 401 Digital Signal Processing Prof. Mark Fowler EEO 4 Digital Signal Processing Prof. Mark Fowler Note Set #34 IIR Design Characteristics of Common Analog Filters Reading: Sect..3.4 &.3.5 of Proakis & Manolakis /6 Motivation We ve seenthat the Bilinear

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-27: igital Logic esign Winter 28 SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted

More information

CS/EE Homework 9 Solutions

CS/EE Homework 9 Solutions S/EE 260 - Homework 9 Solutions ue 4/6/2000 1. onsider the synchronous ripple carry counter on page 5-8 of the notes. Assume that the flip flops have a setup time requirement of 2 ns and that the gates

More information

ECE 3793 Matlab Project 4

ECE 3793 Matlab Project 4 ECE 3793 Matlab Project 4 Spring 2017 Dr. Havlicek DUE: 5/3/2017, 11:59 PM What to Turn In: Make one file that contains your solution for this assignment. It can be an MS WORD file or a PDF file. For Problem

More information

6.111 Lecture # 19. Controlling Position. Some General Features of Servos: Servomechanisms are of this form:

6.111 Lecture # 19. Controlling Position. Some General Features of Servos: Servomechanisms are of this form: 6.111 Lecture # 19 Controlling Position Servomechanisms are of this form: Some General Features of Servos: They are feedback circuits Natural frequencies are 'zeros' of 1+G(s)H(s) System is unstable if

More information

ECE 5650/4650 MATLAB Project 1

ECE 5650/4650 MATLAB Project 1 This project is to be treated as a take-home exam, meaning each student is to due his/her own work. The project due date is 4:30 PM Tuesday, October 18, 2011. To work the project you will need access to

More information

EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII

EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII TITLE: VHDL IMPLEMENTATION OF ALGORITHMIC STATE MACHINES OBJECTIVES: VHDL implementation

More information

CHAPTER FIVE - Flip-Flops and Related Devices

CHAPTER FIVE - Flip-Flops and Related Devices CHAPTER FIVE - Flip-Flops and Related Devices 5.1 5.2 Same Q output as 5.1. 5.3 5.4 57 5.5 One possibility: 5.6 The response shown would occur If the NAND latch is not working as a Flip-Flop. A permanent

More information

Experiment 2 Effects of Filtering

Experiment 2 Effects of Filtering Experiment 2 Effects of Filtering INTRODUCTION This experiment demonstrates the relationship between the time and frequency domains. A basic rule of thumb is that the wider the bandwidth allowed for the

More information

THE HONG KONG POLYTECHNIC UNIVERSITY Department of Electronic and Information Engineering. EIE2106 Signal and System Analysis Lab 2 Fourier series

THE HONG KONG POLYTECHNIC UNIVERSITY Department of Electronic and Information Engineering. EIE2106 Signal and System Analysis Lab 2 Fourier series THE HONG KONG POLYTECHNIC UNIVERSITY Department of Electronic and Information Engineering EIE2106 Signal and System Analysis Lab 2 Fourier series 1. Objective The goal of this laboratory exercise is to

More information

Massachusetts Institute of Technology Department of Electrical Engineering & Computer Science 6.341: Discrete-Time Signal Processing Fall 2005

Massachusetts Institute of Technology Department of Electrical Engineering & Computer Science 6.341: Discrete-Time Signal Processing Fall 2005 Massachusetts Institute of Technology Department of Electrical Engineering & Computer Science 6.341: Discrete-Time Signal Processing Fall 2005 Project Assignment Issued: Sept. 27, 2005 Project I due: Nov.

More information

Four-Way Traffic Light Controller Designing with VHDL

Four-Way Traffic Light Controller Designing with VHDL Four-Way Traffic Light Controller Designing with VHDL Faizan Mansuri Email:11bec024@nirmauni.ac.in Viraj Panchal Email:11bec047@nirmauni.ac.in Department of Electronics and Communication,Institute of Technology,

More information

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions CSE 260 Digital Computers: Organization and Logical Design Midterm Solutions Jon Turner 2/28/2008 1. (10 points). The figure below shows a simulation of the washu-1 processor, with some items blanked out.

More information

Filters. Phani Chavali

Filters. Phani Chavali Filters Phani Chavali Filters Filtering is the most common signal processing procedure. Used as echo cancellers, equalizers, front end processing in RF receivers Used for modifying input signals by passing

More information

ECE 2713 Design Project Solution

ECE 2713 Design Project Solution ECE 2713 Design Project Solution Spring 218 Dr. Havlicek 1. (a) Matlab code: ---------------------------------------------------------- P1a Make a 2 second digital audio signal that contains a pure cosine

More information

Digital Circuits Laboratory LAB no. 12. REGISTERS

Digital Circuits Laboratory LAB no. 12. REGISTERS REGISTERS are sequential logic circuits that store and/or shift binary sequences. can be classified in: memory registers (with parallel load) - latch shift registers (with serial load) combined registers

More information

Written exam IE1204/5 Digital Design Friday 13/

Written exam IE1204/5 Digital Design Friday 13/ Written exam IE204/5 Digital Design Friday 3/ 207 08.00-2.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandqvist tel 08-7904487 Teacher: Valhallavägen, Ahmed Hemani 08-7904469

More information

HDL CODE TO REALIZE ALL THE LOGIC GATES

HDL CODE TO REALIZE ALL THE LOGIC GATES Experiment 1 HDL CODE TO REALIZE ALL THE LOGIC GATES Aim: To write VHDL code for all basic gates, simulate and verify functionality, synthesize. Tools Required: 1. FPG Advantage i. Simulator: Modelsim

More information

Lab S-5: DLTI GUI and Nulling Filters. Please read through the information below prior to attending your lab.

Lab S-5: DLTI GUI and Nulling Filters. Please read through the information below prior to attending your lab. DSP First, 2e Signal Processing First Lab S-5: DLTI GUI and Nulling Filters Pre-Lab: Read the Pre-Lab and do all the exercises in the Pre-Lab section prior to attending lab. Verification: The Exercise

More information

ELT COMMUNICATION THEORY

ELT COMMUNICATION THEORY ELT 41307 COMMUNICATION THEORY Matlab Exercise #1 Sampling, Fourier transform, Spectral illustrations, and Linear filtering 1 SAMPLING The modeled signals and systems in this course are mostly analog (continuous

More information

Lecture 3, Multirate Signal Processing

Lecture 3, Multirate Signal Processing Lecture 3, Multirate Signal Processing Frequency Response If we have coefficients of an Finite Impulse Response (FIR) filter h, or in general the impulse response, its frequency response becomes (using

More information

ijdsp Workshop: Exercise 2012 DSP Exercise Objectives

ijdsp Workshop: Exercise 2012 DSP Exercise Objectives Objectives DSP Exercise The objective of this exercise is to provide hands-on experiences on ijdsp. It consists of three parts covering frequency response of LTI systems, pole/zero locations with the frequency

More information

DFT: Discrete Fourier Transform & Linear Signal Processing

DFT: Discrete Fourier Transform & Linear Signal Processing DFT: Discrete Fourier Transform & Linear Signal Processing 2 nd Year Electronics Lab IMPERIAL COLLEGE LONDON Table of Contents Equipment... 2 Aims... 2 Objectives... 2 Recommended Textbooks... 3 Recommended

More information

DIGITAL FILTERS. !! Finite Impulse Response (FIR) !! Infinite Impulse Response (IIR) !! Background. !! Matlab functions AGC DSP AGC DSP

DIGITAL FILTERS. !! Finite Impulse Response (FIR) !! Infinite Impulse Response (IIR) !! Background. !! Matlab functions AGC DSP AGC DSP DIGITAL FILTERS!! Finite Impulse Response (FIR)!! Infinite Impulse Response (IIR)!! Background!! Matlab functions 1!! Only the magnitude approximation problem!! Four basic types of ideal filters with magnitude

More information

Arria V Timing Optimization Guidelines

Arria V Timing Optimization Guidelines Arria V Timing Optimization Guidelines AN-652-1. Application Note This document presents timing optimization guidelines for a set of identified critical timing path scenarios in Arria V FPGA designs. Timing

More information

EECE 301 Signals & Systems Prof. Mark Fowler

EECE 301 Signals & Systems Prof. Mark Fowler EECE 31 Signals & Systems Prof. Mark Fowler D-T Systems: FIR Filters Note Set #29 1/16 FIR Filters (Non-Recursive Filters) FIR (Non-Recursive) filters are certainly the most widely used DT filters. There

More information

(i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters

(i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters FIR Filter Design Chapter Intended Learning Outcomes: (i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters (ii) Ability to design linear-phase FIR filters according

More information

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM LIST OF EXPERIMENTS. Study of logic gates. 2. Design and implementation of adders and subtractors using logic gates. 3. Design and implementation of code converters using logic gates. 4. Design and implementation

More information

Jawaharlal Nehru Engineering College

Jawaharlal Nehru Engineering College Jawaharlal Nehru Engineering College Laboratory Manual SIGNALS & SYSTEMS For Third Year Students Prepared By: Ms.Sunetra S Suvarna Assistant Professor Author JNEC INSTRU. & CONTROL DEPT., Aurangabad SUBJECT

More information

1 PeZ: Introduction. 1.1 Controls for PeZ using pezdemo. Lab 15b: FIR Filter Design and PeZ: The z, n, and O! Domains

1 PeZ: Introduction. 1.1 Controls for PeZ using pezdemo. Lab 15b: FIR Filter Design and PeZ: The z, n, and O! Domains DSP First, 2e Signal Processing First Lab 5b: FIR Filter Design and PeZ: The z, n, and O! Domains The lab report/verification will be done by filling in the last page of this handout which addresses a

More information

1. In the command window, type "help conv" and press [enter]. Read the information displayed.

1. In the command window, type help conv and press [enter]. Read the information displayed. ECE 317 Experiment 0 The purpose of this experiment is to understand how to represent signals in MATLAB, perform the convolution of signals, and study some simple LTI systems. Please answer all questions

More information

LABORATORY - FREQUENCY ANALYSIS OF DISCRETE-TIME SIGNALS

LABORATORY - FREQUENCY ANALYSIS OF DISCRETE-TIME SIGNALS LABORATORY - FREQUENCY ANALYSIS OF DISCRETE-TIME SIGNALS INTRODUCTION The objective of this lab is to explore many issues involved in sampling and reconstructing signals, including analysis of the frequency

More information

(i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters

(i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters FIR Filter Design Chapter Intended Learning Outcomes: (i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters (ii) Ability to design linear-phase FIR filters according

More information

GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL and COMPUTER ENGINEERING. ECE 2025 Fall 1999 Lab #7: Frequency Response & Bandpass Filters

GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL and COMPUTER ENGINEERING. ECE 2025 Fall 1999 Lab #7: Frequency Response & Bandpass Filters GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL and COMPUTER ENGINEERING ECE 2025 Fall 1999 Lab #7: Frequency Response & Bandpass Filters Date: 12 18 Oct 1999 This is the official Lab #7 description;

More information

EE 422G - Signals and Systems Laboratory

EE 422G - Signals and Systems Laboratory EE 422G - Signals and Systems Laboratory Lab 3 FIR Filters Written by Kevin D. Donohue Department of Electrical and Computer Engineering University of Kentucky Lexington, KY 40506 September 19, 2015 Objectives:

More information

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI 6489 (Approved By AICTE,Newdelhi Affiliated To ANNA UNIVERSITY::Chennai) CS 62 DIGITAL ELECTRONICS LAB (REGULATION-23) LAB MANUAL DEPARTMENT OF

More information

Project I: Phase Tracking and Baud Timing Correction Systems

Project I: Phase Tracking and Baud Timing Correction Systems Project I: Phase Tracking and Baud Timing Correction Systems ECES 631, Prof. John MacLaren Walsh, Ph. D. 1 Purpose In this lab you will encounter the utility of the fundamental Fourier and z-transform

More information

Lab 8: Frequency Response and Filtering

Lab 8: Frequency Response and Filtering Lab 8: Frequency Response and Filtering Pre-Lab and Warm-Up: You should read at least the Pre-Lab and Warm-up sections of this lab assignment and go over all exercises in the Pre-Lab section before going

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R05310402 Set No. 1 1. (a) What are the parameters that are necessary to define the electrical characteristics of CMOS circuits? Mention the typical values of a CMOS NAND gate. (b) Design a CMOS

More information

EECS 452 Midterm Exam Winter 2012

EECS 452 Midterm Exam Winter 2012 EECS 452 Midterm Exam Winter 2012 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Section I /40 Section II

More information

DELD MODEL ANSWER DEC 2018

DELD MODEL ANSWER DEC 2018 2018 DELD MODEL ANSWER DEC 2018 Q 1. a ) How will you implement Full adder using half-adder? Explain the circuit diagram. [6] An adder is a digital logic circuit in electronics that implements addition

More information

Brief Introduction to Signals & Systems. Phani Chavali

Brief Introduction to Signals & Systems. Phani Chavali Brief Introduction to Signals & Systems Phani Chavali Outline Signals & Systems Continuous and discrete time signals Properties of Systems Input- Output relation : Convolution Frequency domain representation

More information

IIR Filter Design Chapter Intended Learning Outcomes: (i) Ability to design analog Butterworth filters

IIR Filter Design Chapter Intended Learning Outcomes: (i) Ability to design analog Butterworth filters IIR Filter Design Chapter Intended Learning Outcomes: (i) Ability to design analog Butterworth filters (ii) Ability to design lowpass IIR filters according to predefined specifications based on analog

More information

A-PDF Split DEMO : Purchase from to remove the watermark 114 FSM

A-PDF Split DEMO : Purchase from   to remove the watermark 114 FSM A-PDF Split DEMO : Purchase from www.a-pdf.com to remove the watermark 114 FSM Xilinx specific Xilinx ISE includes a utility program called StateCAD, which allows a user to draw a state diagram in graphical

More information

ECE503: Digital Filter Design Lecture 9

ECE503: Digital Filter Design Lecture 9 ECE503: Digital Filter Design Lecture 9 D. Richard Brown III WPI 26-March-2012 WPI D. Richard Brown III 26-March-2012 1 / 33 Lecture 9 Topics Within the broad topic of digital filter design, we are going

More information

ECE 2713 Homework 7 DUE: 05/1/2018, 11:59 PM

ECE 2713 Homework 7 DUE: 05/1/2018, 11:59 PM Spring 2018 What to Turn In: ECE 2713 Homework 7 DUE: 05/1/2018, 11:59 PM Dr. Havlicek Submit your solution for this assignment electronically on Canvas by uploading a file to ECE-2713-001 > Assignments

More information

ECE438 - Laboratory 7a: Digital Filter Design (Week 1) By Prof. Charles Bouman and Prof. Mireille Boutin Fall 2015

ECE438 - Laboratory 7a: Digital Filter Design (Week 1) By Prof. Charles Bouman and Prof. Mireille Boutin Fall 2015 Purdue University: ECE438 - Digital Signal Processing with Applications 1 ECE438 - Laboratory 7a: Digital Filter Design (Week 1) By Prof. Charles Bouman and Prof. Mireille Boutin Fall 2015 1 Introduction

More information

George Mason University ECE 201: Introduction to Signal Analysis Spring 2017

George Mason University ECE 201: Introduction to Signal Analysis Spring 2017 Assigned: March 7, 017 Due Date: Week of April 10, 017 George Mason University ECE 01: Introduction to Signal Analysis Spring 017 Laboratory Project #7 Due Date Your lab report must be submitted on blackboard

More information

Signal Processing. Naureen Ghani. December 9, 2017

Signal Processing. Naureen Ghani. December 9, 2017 Signal Processing Naureen Ghani December 9, 27 Introduction Signal processing is used to enhance signal components in noisy measurements. It is especially important in analyzing time-series data in neuroscience.

More information

ECE 4213/5213 Homework 10

ECE 4213/5213 Homework 10 Fall 2017 ECE 4213/5213 Homework 10 Dr. Havlicek Work the Projects and Questions in Chapter 7 of the course laboratory manual. For your report, use the file LABEX7.doc from the course web site. Work these

More information

Concordia University. Discrete-Time Signal Processing. Lab Manual (ELEC442) Dr. Wei-Ping Zhu

Concordia University. Discrete-Time Signal Processing. Lab Manual (ELEC442) Dr. Wei-Ping Zhu Concordia University Discrete-Time Signal Processing Lab Manual (ELEC442) Course Instructor: Dr. Wei-Ping Zhu Fall 2012 Lab 1: Linear Constant Coefficient Difference Equations (LCCDE) Objective In this

More information

Subtractive Synthesis. Describing a Filter. Filters. CMPT 468: Subtractive Synthesis

Subtractive Synthesis. Describing a Filter. Filters. CMPT 468: Subtractive Synthesis Subtractive Synthesis CMPT 468: Subtractive Synthesis Tamara Smyth, tamaras@cs.sfu.ca School of Computing Science, Simon Fraser University November, 23 Additive synthesis involves building the sound by

More information

Plot frequency response around the unit circle above the Z-plane.

Plot frequency response around the unit circle above the Z-plane. There s No End to It -- Matlab Code Plots Frequency Response above the Unit Circle Reference [] has some 3D plots of frequency response magnitude above the unit circle in the Z-plane. I liked them enough

More information

Signal processing preliminaries

Signal processing preliminaries Signal processing preliminaries ISMIR Graduate School, October 4th-9th, 2004 Contents: Digital audio signals Fourier transform Spectrum estimation Filters Signal Proc. 2 1 Digital signals Advantages of

More information

Hardware Design with VHDL Design Example: UART ECE 443

Hardware Design with VHDL Design Example: UART ECE 443 UART Universal Asynchronous Receiver and Transmitter A serial communication protocol that sends parallel data through a serial line. Typically used with RS-232 standard. Your FPGA boards have an RS-232

More information

Laboratory Assignment 4. Fourier Sound Synthesis

Laboratory Assignment 4. Fourier Sound Synthesis Laboratory Assignment 4 Fourier Sound Synthesis PURPOSE This lab investigates how to use a computer to evaluate the Fourier series for periodic signals and to synthesize audio signals from Fourier series

More information

IES Digital Mock Test

IES Digital Mock Test . The circuit given below work as IES Digital Mock Test - 4 Logic A B C x y z (a) Binary to Gray code converter (c) Binary to ECESS- converter (b) Gray code to Binary converter (d) ECESS- To Gray code

More information

Digital Filters IIR (& Their Corresponding Analog Filters) Week Date Lecture Title

Digital Filters IIR (& Their Corresponding Analog Filters) Week Date Lecture Title http://elec3004.com Digital Filters IIR (& Their Corresponding Analog Filters) 2017 School of Information Technology and Electrical Engineering at The University of Queensland Lecture Schedule: Week Date

More information

Department of Electronics and Communication Engineering

Department of Electronics and Communication Engineering Department of Electronics and Communication Engineering Sub Code/Name: BEC3L2- DIGITAL ELECTRONICS LAB Name Reg No Branch Year & Semester : : : : LIST OF EXPERIMENTS Sl No Experiments Page No Study of

More information

Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL

Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL Digital Circuits II Lecture 6 Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL References (Text Book): 1) Digital Electronics, 9 th editon,

More information

GUJARAT TECHNOLOGICAL UNIVERSITY

GUJARAT TECHNOLOGICAL UNIVERSITY Type of course: Compulsory GUJARAT TECHNOLOGICAL UNIVERSITY SUBJECT NAME: Digital Signal Processing SUBJECT CODE: 2171003 B.E. 7 th SEMESTER Prerequisite: Higher Engineering Mathematics, Different Transforms

More information

EE 3054: Signals, Systems, and Transforms Lab Manual

EE 3054: Signals, Systems, and Transforms Lab Manual EE 3054: Signals, Systems, and Transforms Lab Manual 1. The lab will meet every week. 2. Be sure to review the lab ahead of the lab session. Please ask questions of the TA s if you need some help, but

More information

Signal Processing Toolbox

Signal Processing Toolbox Signal Processing Toolbox Perform signal processing, analysis, and algorithm development Signal Processing Toolbox provides industry-standard algorithms for analog and digital signal processing (DSP).

More information

Circuits in CMOS VLSI. Darshana Sankhe

Circuits in CMOS VLSI. Darshana Sankhe Circuits in CMOS VLSI Darshana Sankhe Static CMOS Advantages: Static (robust) operation, low power, scalable with technology. Disadvantages: Large size: An N input gate requires 2N transistors. Large capacitance:

More information

EXPERIMENT NO 1 TRUTH TABLE (1)

EXPERIMENT NO 1 TRUTH TABLE (1) EPERIMENT NO AIM: To verify the Demorgan s theorems. APPARATUS REQUIRED: THEORY: Digital logic trainer and Patch cords. The digital signals are discrete in nature and can only assume one of the two values

More information

Laboratory Manual CS (P) Digital Systems Lab

Laboratory Manual CS (P) Digital Systems Lab Laboratory Manual CS 09 408 (P) Digital Systems Lab INDEX CYCLE I A. Familiarization of digital ICs and digital IC trainer kit 1 Verification of truth tables B. Study of combinational circuits 2. Verification

More information

Solution Set for Mini-Project #2 on Octave Band Filtering for Audio Signals

Solution Set for Mini-Project #2 on Octave Band Filtering for Audio Signals EE 313 Linear Signals & Systems (Fall 2018) Solution Set for Mini-Project #2 on Octave Band Filtering for Audio Signals Mr. Houshang Salimian and Prof. Brian L. Evans 1- Introduction (5 points) A finite

More information

VIDYAVARDHAKA COLLEGE OF ENGINEERING

VIDYAVARDHAKA COLLEGE OF ENGINEERING COURSE OUTCOMES OF 15 SCHEME SUBJECTS : 15MAT31 : C201 : Engg. Mathematics III CO1. Apply periodic signals and Fourier series to analyse circuits and system communications and develop Fourier series for

More information

STANFORD UNIVERSITY. DEPARTMENT of ELECTRICAL ENGINEERING. EE 102B Spring 2013 Lab #05: Generating DTMF Signals

STANFORD UNIVERSITY. DEPARTMENT of ELECTRICAL ENGINEERING. EE 102B Spring 2013 Lab #05: Generating DTMF Signals STANFORD UNIVERSITY DEPARTMENT of ELECTRICAL ENGINEERING EE 102B Spring 2013 Lab #05: Generating DTMF Signals Assigned: May 3, 2013 Due Date: May 17, 2013 Remember that you are bound by the Stanford University

More information

George Mason University ECE 201: Introduction to Signal Analysis

George Mason University ECE 201: Introduction to Signal Analysis Due Date: Week of May 01, 2017 1 George Mason University ECE 201: Introduction to Signal Analysis Computer Project Part II Project Description Due to the length and scope of this project, it will be broken

More information

Analog Lowpass Filter Specifications

Analog Lowpass Filter Specifications Analog Lowpass Filter Specifications Typical magnitude response analog lowpass filter may be given as indicated below H a ( j of an Copyright 005, S. K. Mitra Analog Lowpass Filter Specifications In the

More information

EECS 452 Practice Midterm Exam Solutions Fall 2014

EECS 452 Practice Midterm Exam Solutions Fall 2014 EECS 452 Practice Midterm Exam Solutions Fall 2014 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Section

More information

Digital Signal Processing

Digital Signal Processing Digital Signal Processing System Analysis and Design Paulo S. R. Diniz Eduardo A. B. da Silva and Sergio L. Netto Federal University of Rio de Janeiro CAMBRIDGE UNIVERSITY PRESS Preface page xv Introduction

More information

Final Exam Solutions June 14, 2006

Final Exam Solutions June 14, 2006 Name or 6-Digit Code: PSU Student ID Number: Final Exam Solutions June 14, 2006 ECE 223: Signals & Systems II Dr. McNames Keep your exam flat during the entire exam. If you have to leave the exam temporarily,

More information

Laboration Exercises in Digital Signal Processing

Laboration Exercises in Digital Signal Processing Laboration Exercises in Digital Signal Processing Mikael Swartling Department of Electrical and Information Technology Lund Institute of Technology revision 215 Introduction Introduction The traditional

More information

L A B 3 : G E N E R A T I N G S I N U S O I D S

L A B 3 : G E N E R A T I N G S I N U S O I D S L A B 3 : G E N E R A T I N G S I N U S O I D S NAME: DATE OF EXPERIMENT: DATE REPORT SUBMITTED: 1/7 1 THEORY DIGITAL SIGNAL PROCESSING LABORATORY 1.1 GENERATION OF DISCRETE TIME SINUSOIDAL SIGNALS IN

More information

ECE 2026 Summer 2016 Lab #08: Detecting DTMF Signals

ECE 2026 Summer 2016 Lab #08: Detecting DTMF Signals GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL and COMPUTER ENGINEERING ECE 2026 Summer 2016 Lab #08: Detecting DTMF Signals Date: 14 July 2016 Pre-Lab: You should read the Pre-Lab section of the

More information