Four-Way Traffic Light Controller Designing with VHDL

Size: px
Start display at page:

Download "Four-Way Traffic Light Controller Designing with VHDL"

Transcription

1 Four-Way Traffic Light Controller Designing with VHDL Faizan Mansuri Viraj Panchal Department of Electronics and Communication,Institute of Technology, Nirma University Ahmedabad,Gujarat,India Abstract The simple traffic light controller design project was introduced to alleviate this shortcoming and gain experience in solving implementation and interfacing problems of a modern digital system. we implement a fully functional traffic signal controller for a four-way intersection. Intersection is complete with sensors to detect the presence of vehicles waiting at or approaching the intersection.these include VHDL for modeling and finite state machines, serial communication, and uploading the VHDL design code on ALTERA kit for verification of design Introduction Traffic lights, also known as traffic lamps, traffic signals, stoplight, stop-and-go lights semaphore or robots, are signaling devices positioned at pedestrian crossings, road intersections, and other locations to control competing flows of traffic. Traffic lights have installed in most cities around the world to control the flow of traffic. It assign the right of way to road users by the use of lights in standard colors (Red - Yellow - Green), using a universal color code (and a precise sequence, for color blind). Traffic lights are used at busy intersections to more evenly apportion delay to the various users. The increasing amount of traffic in the cities has a large impact on the congestion and the time it takes to reach a certain destination. But not only the amount of traffic but also how you deal with this traffic has a large impact. Adding roads is not sufficient by itself, since they will always reach an end point, like junction or bottlenecks. Bottlenecks cannot be prevented. However the way junctions are controlled has a lot of room for improvement. Junctions are controlled; it is mostly done by traffic lights. Traffic lights though, are most of the time not adaptive. The classic traffic light controller has a fixed-cycle which does not take in account how much traffic comes from any direction; it just switches configurations of lights on a timer interval. It often causes road users to wait at a completely empty junction with only one road user waiting for a red sign. Improvements already have been made, by putting sensors in the lanes in front of the traffic lights to let the controller only cycle between occupied lanes, thus disabling the chance of having to wait at a red light at an empty junction. More theoretical approaches to improve the traffic light control include machine learning algorithms. Machine Learning algorithms store the sensor information the sensors gather about the road users crossing the junction. This stored sensor information samples provide a way to predict the future driving behavior of road users and therefore enable the traffic light controller to calculate future waiting times for those road users for each action the traffic light controller can make. When the controller has the actions combined with waiting times, the optimal action would be to do one of these actions where the expected waiting times are the lowest. In the real world it is not realistic to assume that all the information can be gathered or that the gathered information is 100% accurate. Thus decisions have to be made based on incomplete or erroneous information. This implies working with partial observability. The used simulator has a discreet grid-like state space representation of the whereabouts of all road users. Running the simulator generates data this data exists of road users moving from one grid point to another.in this paper we have worked with simple four-way traffic light controller having sensor to detect vehicles at the intersection of

2 street. According to sensor input our design will work.we have discussed this in detail in our design portion.we have also done simulation of our VHDL design code in Quartus3.1 by ALTERA and generate a optimized hardware of our project. Traffic-Light Controller Design This project describes a simple traffic light controller design project for a junior level digital systems class at the Nirma University. It is developed because there was a need for laboratory exercises that incorporated microprocessors, simulation, VHDL modeling, serial communications,and a variety of related topics into a complete digital system. It requires students to develop a state machine based controller for traffic signals at a fourway intersection. This intersection has two travel lanes in each direction; east, west, north and south. In addition, each direction has a dedicated left turn lane. Each street i.e. NS(North-South) and EW(East-West) has a sensor to indicate presence of car at the intersection or if cars are approaching the intersectionthis project stresses the difference of writing VHDL for modeling and synthesis and that VHDL should not be thought of as a programming language. It gives proper design of combinational and sequential circuits. It requires proper definition of pin constraints for interfacing peripherals external to the FPGA or CPLD. Another drawback is that the study of components often occurred only in simulation. This led to confusion on how to write synthesizable VHDL.In this project we have used Quartus8.1 by ALTERA to design a traffic light controller using VHDL.We have used behavioural type Modeling to design sothat we can design only from state of outputs and inputs and we can get optimized hardware.after simulation we have verified our design on ALTERA kit using serial/parallel communication. Traffic-Signal Phase Sequences The traffic light controller must handle a fourphase signal intersection. If we consider only straight way direction when NS street is on then traffic is followed by North to South or South to North alternatively.during this EW street traffic is stopped by Red signal. After completion of NS street now EW street traffic is followed by East to West or West to East alternatively.if we considered another CLK possibility to follow traffic in another direction rather than straightway direction, we can see from fig.1 that during NS street on vehicles can go to left or right direction according to North-South direction parallelly to main direction because EW street is OFF during this.similarly we can say about EW street.. Fig.1:phase options Design Implementation A traffic light at the intersection of northsouth(ns) and east-west(ew streets goes through the following cycles of states : both red(5 sec), NS green (30 sec), NS yellow(5 s) -- both red(5s) EW green(30 sec) EW yellow (5sec).A 0.2 Hz clock signal is available for timing. Both streets are equipped with sensors that detects the presence of a car close to the intersection.whenever there is a car close to the intersection on the street currently having its light red while there is no car approaching the intersection on the street with green light, the switchover takes place and green light immediately turns to yellow. Block digram/flow diagram Counter RESET R Controller CONTROLLER State State Machine Y Machine G Fig.2 L E D D I S P L A Y

3 Acknowledgement We would like to thank our mentor Prof.Vijay Savani to give us this opportunity to make practical application of Digital System using VHDL code and for his motivation towards VHDL design implementation. Appendix We have attached VHDL source code of Traffic-light controller design, simulation result, RTL viewer and Technology Map Viewer. Simulation has been done in QURTUS II by ALTERA. Conclusion We have designed full system of Traffic-Light Controller by implementing the logic of block diagram of the system and could simulate the result on LED 7-segment display using counter and switchover of LED during this counter interval. We have also generated a optimized hardware using VHDL code and respective technology map viewer using QUARTUS II by ALTERA. After design we verified design using ALTERA kit having EPMT100C5 chip. References 1) Behrooz Parahami, Computer Architecture from Microprocessors to Supercomputers. 2)Charles H.Roth,Jr. and Lizy Kurian John, Digital System design using VHDL

4 Appendix Source Code: -- DSD PROJECT " 4 way traffic controller " -- Problem Statement: A traffic light at the intersection of north-south(ns) and east-west(ew) -- streets goes through the following cycles of states : both red(5 sec), NS green (30 sec), NS yellow(5 s) -- both red(5s) EW green(30 sec) EW yellow (5sec). -- A 0.2 Hz clock signal is available for timing. Both streets are equipped with sensors that detects -- the presence of a car close to the intersection.whenever there is a car close to the intersection on -- the street currently having its light red while there is no car approaching the intersection --on the street with green light, the switchover takes place and green light immediately turns to yellow. -- Design a sequential circuit for this traffic controller. -- Started date 12/02/ bec024 and 11bec047 --library declaration library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; --entity declaration entity dsd_project is port(t,clk,sensor1,sensor2:in std_logic; presetn :in std_logic; enable: out STD_LOGIC_VECTOR(3 downto 0); seg7 : out STD_LOGIC_VECTOR(6 downto 0);

5 g1,y1,r1,g2,y2,r2: buffer std_logic ); end entity; -- architecture declaration architecture trafficlightcontroller of dsd_project is signal count : std_logic_vector(3 downto 0); signal a,b,c,d:std_logic; signal temp:std_logic_vector(2 downto 0); begin counter:process(clk,t,presetn) is begin if(t='0')then enable <= "0111"; seg7 <= " "; elsif(presetn = '0') then count <= "1111"; elsif((clk='1' and clk'event) and (t='1')) then count <= count + 1; a<= count(3); b<= count(2); c<= count(1); d <= count(0); r1 <= (((not b)and (not c) and (not d)) or (a)); r2 <= (((not b)and (not c) and (not d)) or (not a)); g1 <= (((b and (not c)) or ((not b) and d) or (c and (not d))) and (not a)); g2 <= (((b and (not c)) or ((not b) and d) or (c and (not d))) and (a));

6 y1 <= (b and c and d and (not a)); y2 <= (b and c and d and (a)); if ((g1='1' and sensor1='0') or (g2='1' and sensor2='0')) then count(2 downto 0) <= "111"; b<='1'; c<='1'; d<='1'; end if; temp <= b&c&d; case temp is when "000" => seg7 <= " "; when "001" => seg7 <= " "; when "010" => seg7 <= " "; when "011" => seg7 <= " "; when "100" => seg7 <= " "; when "101" => seg7 <= " "; when "110" => seg7 <= " "; when "111" => seg7 <= " "; when others => seg7 <= " "; end case; case temp is when "000" => enable <= "0111"; when "001" => enable <= "1011"; when "010" => enable <= "1101"; when "011" => enable <= "1110"; when "100" => enable <= "0111"; when "101" => enable <= "1011"; when "110" => enable <= "1101";

7 when "111" => enable <= "1110"; when others => enable <= "0111"; end case; end if; end process; end architecture; Simulation Result:

8 RTL Viewer:

9 Technology Map Viewer:

UNIVERSITI MALAYSIA PERLIS

UNIVERSITI MALAYSIA PERLIS UNIVERSITI MALAYSIA PERLIS SCHOOL OF COMPUTER & COMMUNICATIONS ENGINEERING EKT303/4 PRINCIPLES OF COMPUTER ARCHITECTURE LAB 5 : STATE MACHINE DESIGNS IN VHDL LAB 5: Finite State Machine Design OUTCOME:

More information

Types of Control. Programmed Non-programmed. Program Counter Hardwired

Types of Control. Programmed Non-programmed. Program Counter Hardwired Lecture #5 In this lecture we will introduce the sequential circuits. We will overview various Latches and Flip Flops (30 min) Give Sequential Circuits design concept Go over several examples as time permits

More information

Ring Counter. 4-bit Ring Counter using D FlipFlop. VHDL Code for 4-bit Ring Counter and Johnson Counter 1. Contents

Ring Counter. 4-bit Ring Counter using D FlipFlop. VHDL Code for 4-bit Ring Counter and Johnson Counter 1. Contents VHDL Code for 4-bit Ring Counter and Johnson Counter 1 Contents 1 Ring Counter 2 4-bit Ring Counter using D FlipFlop 3 Ring Counter Truth Table 4 VHDL Code for 4 bit Ring Counter 5 VHDL Testbench for 4

More information

Arria V Timing Optimization Guidelines

Arria V Timing Optimization Guidelines Arria V Timing Optimization Guidelines AN-652-1. Application Note This document presents timing optimization guidelines for a set of identified critical timing path scenarios in Arria V FPGA designs. Timing

More information

EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII

EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII TITLE: VHDL IMPLEMENTATION OF ALGORITHMIC STATE MACHINES OBJECTIVES: VHDL implementation

More information

Topics. FPGA Design EECE 277. Combinational Logic Blocks. From Last Time. Multiplication. Dr. William H. Robinson February 25, 2005

Topics. FPGA Design EECE 277. Combinational Logic Blocks. From Last Time. Multiplication. Dr. William H. Robinson February 25, 2005 FPGA Design EECE 277 Combinational Logic Blocks Dr. William H. Robinson Februar5, 25 http://eecs.vanderbilt.edu/courses/eece277/ Topics Computer, compute to the last digit the value o pi. Mr. Spock (Star

More information

Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL

Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL Digital Circuits II Lecture 6 Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL References (Text Book): 1) Digital Electronics, 9 th editon,

More information

Daisy II. By: Steve Rothen EEL5666 Spring 2002

Daisy II. By: Steve Rothen EEL5666 Spring 2002 Daisy II By: Steve Rothen EEL5666 Spring 2002 Table of Contents Abstract. 3 Executive Summary. 4 Introduction.. 4 Integrated System 5 Mobile Platform... 8 Actuation....9 Sensors.. 10 Behaviors.. 13 Experimental

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

CS/EE Homework 9 Solutions

CS/EE Homework 9 Solutions S/EE 260 - Homework 9 Solutions ue 4/6/2000 1. onsider the synchronous ripple carry counter on page 5-8 of the notes. Assume that the flip flops have a setup time requirement of 2 ns and that the gates

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015 Field Programmable Gate Array Based Intelligent Traffic Light System Agho Osarenomase, Faisal Sani Bala, Ganiyu Bakare Department of Electrical and Electronics Engineering, Faculty of Engineering, Abubakar

More information

Introduction to Digital Signal Processing

Introduction to Digital Signal Processing A-PDF Split DEMO : Purchase from www.a-pdf.com to remove the watermark CHAPTER 7 Introduction to Digital Signal Processing 7.1 Introduction The processing of analogue electrical signals and digital data

More information

Configuring CorePWM Using RTL Blocks

Configuring CorePWM Using RTL Blocks Application Note AC284 Introduction This application note describes the configuration of CorePWM using custom RTL blocks. A design example is provided to illustrate how a simple finite state machine (FSM)

More information

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 5

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 5 IGITAL LOGIC WITH VHL (Fall 2013) Unit 5 SEUENTIAL CIRCUITS Asynchronous sequential circuits: Latches Synchronous circuits: flip flops, counters, registers. COMBINATORIAL CIRCUITS In combinatorial circuits,

More information

Senior Capstone Project Proposal Reconfigurable FPGA Implementation Of Digital Communication System

Senior Capstone Project Proposal Reconfigurable FPGA Implementation Of Digital Communication System Senior Capstone Project Proposal Reconfigurable FPGA Implementation Project Members Steve Koziol Josh Romans Project Advisor Dr T.L. Stewart Bradley University Department of Electrical & Computer Engineering

More information

CHAPTER FIVE - Flip-Flops and Related Devices

CHAPTER FIVE - Flip-Flops and Related Devices CHAPTER FIVE - Flip-Flops and Related Devices 5.1 5.2 Same Q output as 5.1. 5.3 5.4 57 5.5 One possibility: 5.6 The response shown would occur If the NAND latch is not working as a Flip-Flop. A permanent

More information

Chapter 9. sequential logic technologies

Chapter 9. sequential logic technologies Chapter 9. sequential logic technologies In chapter 4, we looked at diverse implementation technologies for combinational logic circuits: random logic, regular logic, programmable logic. Similarly, variations

More information

Synthesis Minimizations and Mesh Algorithm Selection: An Extension of the Ultrasonic 3D Camera

Synthesis Minimizations and Mesh Algorithm Selection: An Extension of the Ultrasonic 3D Camera Syracuse University SURFACE Syracuse University Honors Program Capstone Projects Syracuse University Honors Program Capstone Projects Spring 5-1-2009 Synthesis Minimizations and Mesh Algorithm Selection:

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

FPGA & Pulse Width Modulation. Digital Logic. Programing the FPGA 7/23/2015. Time Allotment During the First 14 Weeks of Our Advanced Lab Course

FPGA & Pulse Width Modulation. Digital Logic. Programing the FPGA 7/23/2015. Time Allotment During the First 14 Weeks of Our Advanced Lab Course 1.9.8.7.6.5.4.3.2.1.5 1 1.5 2 2.5 3 3.5 4 4.5 5 5.5 6 6.5 DAC Vin 7/23/215 FPGA & Pulse Width Modulation Allotment During the First 14 Weeks of Our Advanced Lab Course Sigma Delta Pulse Width Modulated

More information

Chapter 9. sequential logic technologies

Chapter 9. sequential logic technologies Chapter 9. sequential logic technologies In chapter 4, we looked at diverse implementation technologies for combinational logic circuits: random logic, regular logic, programmable logic. The similar variants

More information

PRESENTATION OF THE PROJECTX-FINAL LEVEL 1.

PRESENTATION OF THE PROJECTX-FINAL LEVEL 1. Implementation of digital it frequency dividersid PRESENTATION OF THE PROJECTX-FINAL LEVEL 1. Why frequency divider? Motivation widely used in daily life Time counting (electronic clocks, traffic lights,

More information

Stage report Spark Generator Board & Path Finder Robot

Stage report Spark Generator Board & Path Finder Robot Institut Universitaire Technologique Calais-Boulogne Département Génie Electrique et Informatique Industrielle Stage report Spark Generator Board & Path Finder Robot Stage from 14 April to 19 June 1998

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

DIGITAL SIGNAL PROCESSING WITH VHDL

DIGITAL SIGNAL PROCESSING WITH VHDL DIGITAL SIGNAL PROCESSING WITH VHDL GET HANDS-ON FROM THEORY TO PRACTICE IN 6 DAYS MODEL WITH SCILAB, BUILD WITH VHDL NUMEROUS MODELLING & SIMULATIONS DIRECTLY DESIGN DSP HARDWARE Brought to you by: Copyright(c)

More information

FPGA Implementation of VHDL Based Traffic Light Controller System

FPGA Implementation of VHDL Based Traffic Light Controller System FPGA Implementation of VHDL Based Traffic Light Controller System Sahil Gupta 1, Surbhi Sharma 2 1, 2 Department of Electronics & Communication Engineering, MIET, Jammu, J&K, India Email address: 1 sahilgupta3@yahoo.in,

More information

EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2. ELEC 3004/7312: Signals Systems & Controls EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2

EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2. ELEC 3004/7312: Signals Systems & Controls EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2 ELEC 3004/7312: Signals Systems & Controls Aims In this laboratory session you will: 1. Gain familiarity with the workings of the Digilent Nexys 2 for DSP applications; 2. Have a first look at the Xilinx

More information

Lab 1.1 PWM Hardware Design

Lab 1.1 PWM Hardware Design Lab 1.1 PWM Hardware Design Lab 1.0 PWM Control Software (recap) In lab 1.0, you learnt the core concepts needed to understand and interact with simple systems. The key takeaways were the following: Hardware

More information

CSE 260 Digital Computers: Organization and Logical Design. Lab 4. Jon Turner Due 3/27/2012

CSE 260 Digital Computers: Organization and Logical Design. Lab 4. Jon Turner Due 3/27/2012 CSE 260 Digital Computers: Organization and Logical Design Lab 4 Jon Turner Due 3/27/2012 Recall and follow the General notes from lab1. In this lab, you will be designing a circuit that implements the

More information

Lab #10: Finite State Machine Design

Lab #10: Finite State Machine Design Lab #10: Finite State Machine Design Zack Mattis Lab: 3/2/17 Report: 3/14/17 Partner: Brendan Schuster Purpose In this lab, a finite state machine was designed and fully implemented onto a protoboard utilizing

More information

USING EMBEDDED PROCESSORS IN HARDWARE MODELS OF ARTIFICIAL NEURAL NETWORKS

USING EMBEDDED PROCESSORS IN HARDWARE MODELS OF ARTIFICIAL NEURAL NETWORKS USING EMBEDDED PROCESSORS IN HARDWARE MODELS OF ARTIFICIAL NEURAL NETWORKS DENIS F. WOLF, ROSELI A. F. ROMERO, EDUARDO MARQUES Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

ISSN Vol.05, Issue.07, July-2017, Pages:

ISSN Vol.05, Issue.07, July-2017, Pages: ISSN 2322-0929 Vol.05, Issue.07, July-2017, Pages:0657-0661 www.ijvdcs.org An Advanced Traffic Light Controller using Verilog HDL T. BALA OBULA REDDY 1, V. SOWMYA 2 1 PG Scholar, Dept of ECE(VLSI), SRIT,

More information

Topics Introduction to Microprocessors

Topics Introduction to Microprocessors Topics 2244 Introduction to Microprocessors Chapter 8253 Programmable Interval Timer/Counter Suree Pumrin,, Ph.D. Interfacing with 886/888 Programming Mode 2244 Introduction to Microprocessors 2 8253/54

More information

CENG3430 RAPID PROTOTYPING OF DIGITAL SYSTEMS

CENG3430 RAPID PROTOTYPING OF DIGITAL SYSTEMS VHDL 0 (v.7a) : Introduction 1 CENG3430 RAPID PROTOTYPING OF DIGITAL SYSTEMS YANG Ming-Chang mcyang@cse 3943-8405 Room 906 SHB WONG Kin Hong khwong@cse 3943-8397 Room 907 SHB http://www.cse.cuhk.edu.hk/~mcyang/teaching.html

More information

Written exam IE1204/5 Digital Design Friday 13/

Written exam IE1204/5 Digital Design Friday 13/ Written exam IE204/5 Digital Design Friday 3/ 207 08.00-2.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandqvist tel 08-7904487 Teacher: Valhallavägen, Ahmed Hemani 08-7904469

More information

EE 307 Project #1 Whac-A-Mole

EE 307 Project #1 Whac-A-Mole EE 307 Project #1 Whac-A-Mole Performed 10/25/2008 to 11/04/2008 Report finished 11/09/2008 John Tooker Chenxi Liu Abstract: In this project, we made a digital circuit that operates Whac-A-Mole game. Quartus

More information

A-PDF Split DEMO : Purchase from to remove the watermark 114 FSM

A-PDF Split DEMO : Purchase from   to remove the watermark 114 FSM A-PDF Split DEMO : Purchase from www.a-pdf.com to remove the watermark 114 FSM Xilinx specific Xilinx ISE includes a utility program called StateCAD, which allows a user to draw a state diagram in graphical

More information

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 98 CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 5.1 INTRODUCTION This chapter deals with the design and development of FPGA based PWM generation with the focus on to improve the

More information

CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS

CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS 49 CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS 5.1 INTRODUCTION TO VHDL VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. The other widely used

More information

Automatic Routing of Traffic Signaling using Image Processing

Automatic Routing of Traffic Signaling using Image Processing ISSN 2348 2370 Vol.09,Issue.05, April-2017, Pages:0670-0674 www.ijatir.org Automatic Routing of Traffic Signaling using Image Processing CH. PRIYANKA 1, R. V. CH. SEKHAR RAO 2, M. AMRUTHA 3, M. CHANDRASEKHAR

More information

-SQA- SCOTTISH QUALIFICATIONS AUTHORITY HIGHER NATIONAL UNIT SPECIFICATION GENERAL INFORMATION

-SQA- SCOTTISH QUALIFICATIONS AUTHORITY HIGHER NATIONAL UNIT SPECIFICATION GENERAL INFORMATION -SQA- SCOTTISH QUALIFICATIONS AUTHORITY HIGHER NATIONAL UNIT SPECIFICATION GENERAL INFORMATION -Unit Number- 8411894 -Superclass- CA -Title- MICROCONTROLLERS: ARCHITECTURE, PROGRAMMING AND APPLICATIONS

More information

Supporting VHDL Design for Air-Conditioning Controller Using Evolutionary Computation

Supporting VHDL Design for Air-Conditioning Controller Using Evolutionary Computation Proceedings of the 7th World Congress The International Federation of Automatic Control Seoul, Korea, July 6-, Supporting VHDL Design for Air-Conditioning Controller Using Evolutionary Computation Kazuyuki

More information

DELD MODEL ANSWER DEC 2018

DELD MODEL ANSWER DEC 2018 2018 DELD MODEL ANSWER DEC 2018 Q 1. a ) How will you implement Full adder using half-adder? Explain the circuit diagram. [6] An adder is a digital logic circuit in electronics that implements addition

More information

Introduction to Simulation of Verilog Designs. 1 Introduction

Introduction to Simulation of Verilog Designs. 1 Introduction Introduction to Simulation of Verilog Designs 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an introduction to such

More information

Real Time Traffic Light Control System Using Image Processing

Real Time Traffic Light Control System Using Image Processing Real Time Traffic Light Control System Using Image Processing Darshan J #1, Siddhesh L. #2, Hitesh B. #3, Pratik S.#4 Department of Electronics and Telecommunications Student of KC College Of Engineering

More information

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions CSE 260 Digital Computers: Organization and Logical Design Midterm Solutions Jon Turner 2/28/2008 1. (10 points). The figure below shows a simulation of the washu-1 processor, with some items blanked out.

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted SR Latch S R S R SR LATCH WITH NABL: R R' S R t+ t t+ t t t S S' LATCH WITH NABL: This is

More information

Bluetooth Transceiver Design with VHDL-AMS

Bluetooth Transceiver Design with VHDL-AMS Bluetooth Transceiver Design with VHDL-AMS Rami Ahola, Daniel Wallner Spirea AB Stockholm, Sweden rami.ahola@spirea.com daniel.wallner@spirea.com Abstract This paper describes the design challenges of

More information

SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS)

SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS) SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS) Recognized by AICTE, NBA, NAAC and Govt. of A.P. Affiliated by J.N.T.U.A., ANANTAPUR R.V.S. Nagar, Tirupati Road, CHITTOOR- 517127 DEPARTMENT

More information

Efficient Parallel Real-Time Upsampling with Xilinx FPGAs

Efficient Parallel Real-Time Upsampling with Xilinx FPGAs Efficient Parallel eal-time Upsampling with Xilinx FPGAs by William D. ichard Associate Professor Washington University, St. Louis wdr@wustl.edu 38 Xcell Journal Fourth Quarter 2014 Here s a way to upsample

More information

HT1620 HT1621 HT1622 HT16220 HT1623 HT1625 HT1626 HT1627 HT16270 COM

HT1620 HT1621 HT1622 HT16220 HT1623 HT1625 HT1626 HT1627 HT16270 COM RAM Mapping 48 16 LCD Controller for I/O µc LCD Controller Product Line Selection Table HT162X HT1620 HT1621 HT1622 HT16220 HT1623 HT1625 HT1626 HT1627 HT16270 COM 4 4 8 8 8 81 16 16 16 SEG 32 32 32 32

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

MODULE-4 Memory and programmable logic

MODULE-4 Memory and programmable logic MODULE-4 Memory and programmable logic READ-ONLY MEMORY (ROM) A read-only memory (ROM) is a device that includes both the decoder and the OR gates within a single IC package. The connections between the

More information

TRANSPOSED FORM OF FOLDED FIR FILTER

TRANSPOSED FORM OF FOLDED FIR FILTER TRANSPOSED FORM OF FOLDED FIR FILTER K. Subramanian 1, Dr. R. Prema 2, S. Muthukrishnan 3 1-3 Dept. of Electronics and Communication Systems, Karpagam Academy of Higher Education, Coimbatore, Tamilnadu,

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

A Fuzzy Signal Controller for Isolated Intersections

A Fuzzy Signal Controller for Isolated Intersections 1741741741741749 Journal of Uncertain Systems Vol.3, No.3, pp.174-182, 2009 Online at: www.jus.org.uk A Fuzzy Signal Controller for Isolated Intersections Mohammad Hossein Fazel Zarandi, Shabnam Rezapour

More information

First Optional Homework Problem Set for Engineering 1630, Fall 2014

First Optional Homework Problem Set for Engineering 1630, Fall 2014 First Optional Homework Problem Set for Engineering 1630, Fall 014 1. Using a K-map, minimize the expression: OUT CD CD CD CD CD CD How many non-essential primes are there in the K-map? How many included

More information

Chapter # 1: Introduction

Chapter # 1: Introduction Chapter # : Introduction Contemporary Logic Design Randy H. Katz University of California, erkeley May 994 No. - The Process Of Design Design Implementation Debug Design Initial concept: what is the function

More information

Built-in LCD display RAM Built-in RC oscillator

Built-in LCD display RAM Built-in RC oscillator PAT No. : TW 099352 RAM Mapping 488 LCD Controller for I/O MCU Technical Document Application Note Features Operating voltage: 2.7V~5.2V Built-in LCD display RAM Built-in RC oscillator R/W address auto

More information

Connected Car Networking

Connected Car Networking Connected Car Networking Teng Yang, Francis Wolff and Christos Papachristou Electrical Engineering and Computer Science Case Western Reserve University Cleveland, Ohio Outline Motivation Connected Car

More information

Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter

Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter P. K. Gaikwad Department of Electronics Willingdon College, Sangli, India e-mail: pawangaikwad2003

More information

R/W address auto increment External Crystal kHz oscillator

R/W address auto increment External Crystal kHz oscillator RAM Mapping 328 LCD Controller for I/O MCU PATENTED PAT No. : 099352 Features Operating voltage: 2.7V~5.2V R/W address auto increment External Crystal 32.768kHz oscillator Two selectable buzzer frequencies

More information

Embedded Systems 10 BF - ES - 1 -

Embedded Systems 10 BF - ES - 1 - Embedded Systems 10-1 - REVIEW: VHDL HDL = hardware description language VHDL = VHSIC hardware description language VHSIC = very high speed integrated circuit Initiated by US Department of Defense 1987

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

FPGA-Based Autonomous Obstacle Avoidance Robot.

FPGA-Based Autonomous Obstacle Avoidance Robot. People s Democratic Republic of Algeria Ministry of Higher Education and Scientific Research University M Hamed BOUGARA Boumerdes Institute of Electrical and Electronic Engineering Department of Electronics

More information

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM 3 Chapter 3 IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA 3.1. Introduction This Chapter presents an implementation of area efficient SPWM control through single FPGA using Q-Format. The SPWM

More information

Stratix Filtering Reference Design

Stratix Filtering Reference Design Stratix Filtering Reference Design December 2004, ver. 3.0 Application Note 245 Introduction The filtering reference designs provided in the DSP Development Kit, Stratix Edition, and in the DSP Development

More information

PATENTED. PAT No. : HT1622/HT1622G RAM Mapping 32 8 LCD Controller for I/O MCU. Features. General Description.

PATENTED. PAT No. : HT1622/HT1622G RAM Mapping 32 8 LCD Controller for I/O MCU. Features. General Description. RAM Mapping 328 LCD Controller for I/O MCU PATENTED PAT No. : 099352 Features Operating voltage: 2.7V~5.2V Built-in RC oscillator 1/4 bias, 1/8 duty, frame frequency is 64Hz Max. 328 patterns, 8 commons,

More information

ISSN: [Pandey * et al., 6(9): September, 2017] Impact Factor: 4.116

ISSN: [Pandey * et al., 6(9): September, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A VLSI IMPLEMENTATION FOR HIGH SPEED AND HIGH SENSITIVE FINGERPRINT SENSOR USING CHARGE ACQUISITION PRINCIPLE Kumudlata Bhaskar

More information

HT162X HT1620 HT1621 HT1622 HT16220 HT1623 HT1625 HT1626 COM

HT162X HT1620 HT1621 HT1622 HT16220 HT1623 HT1625 HT1626 COM RAM Mapping 328 LCD Controller for I/O MCU PATENTED PAT No. : 099352 Technical Document Application Note Features Operating voltage: 2.7V~5.2V Built-in RC oscillator 1/4 bias, 1/8 duty, frame frequency

More information

A Multi-Agent Based Autonomous Traffic Lights Control System Using Fuzzy Control

A Multi-Agent Based Autonomous Traffic Lights Control System Using Fuzzy Control International Journal of Scientific & Engineering Research Volume 2, Issue 6, June-2011 1 A Multi-Agent Based Autonomous Traffic Lights Control System Using Fuzzy Control Yousaf Saeed, M. Saleem Khan,

More information

Digital Design With Cpld Applications And Vhdl 2nd Edition Solution Manual

Digital Design With Cpld Applications And Vhdl 2nd Edition Solution Manual Digital Design With Cpld Applications And Vhdl 2nd Edition Solution Manual DIGITAL DESIGN WITH CPLD APPLICATIONS AND VHDL 2ND EDITION SOLUTION MANUAL PDF - Are you looking for digital design with cpld

More information

Connect Four Emulator

Connect Four Emulator Connect Four Emulator James Van Koevering, Kevin Weinert, Diana Szeto, Kyle Johannes Electrical and Computer Engineering Department School of Engineering and Computer Science Oakland University, Rochester,

More information

Peripheral Link Driver for ADSP In Embedded Control Application

Peripheral Link Driver for ADSP In Embedded Control Application Peripheral Link Driver for ADSP-21992 In Embedded Control Application Hany Ferdinando Jurusan Teknik Elektro Universitas Kristen Petra Siwalankerto 121-131 Surabaya 60236 Phone: +62 31 8494830, fax: +62

More information

ELEX Now You See Me. Project Report

ELEX Now You See Me. Project Report ELEX 7660 Now You See Me Project Report Ken Do, 4-14-2017 Contents 1 - Overview... 3 1.1 - Project Motivation... 3 1.2 - Goals... 3 1.3 - System Block Diagram... 3 1.4 - IP and Hardware Descriptions...

More information

Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter

Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter Afarulrazi Abu Bakar 1, *,Md Zarafi Ahmad 1 and Farrah Salwani Abdullah 1 1 Faculty of Electrical and Electronic Engineering, UTHM *Email:afarul@uthm.edu.my

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus II 13.1

Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus II 13.1 Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor For Quartus II 13.1 1 Introduction This tutorial provides an introduction to simulation of logic circuits using the

More information

DIGITAL DESIGN WITH SM CHARTS

DIGITAL DESIGN WITH SM CHARTS DIGITAL DESIGN WITH SM CHARTS By: Dr K S Gurumurthy, UVCE, Bangalore e-notes for the lectures VTU EDUSAT Programme Dr. K S Gurumurthy, UVCE, Blore Page 1 19/04/2005 DIGITAL DESIGN WITH SM CHARTS The utility

More information

Assigning altitude levels to flyovers. - Tejaswani Narla

Assigning altitude levels to flyovers. - Tejaswani Narla Assigning altitude levels to flyovers - Tejaswani Narla Plan for the talk Real World Problem Description Constructing a graph from the problem Graph Problem Description Introduction to Permutation Graphs

More information

Implementing Multipliers with Actel FPGAs

Implementing Multipliers with Actel FPGAs Implementing Multipliers with Actel FPGAs Application Note AC108 Introduction Hardware multiplication is a function often required for system applications such as graphics, DSP, and process control. The

More information

MICROPROCESSORS AND MICROCONTROLLER 1

MICROPROCESSORS AND MICROCONTROLLER 1 MICROPROCESSORS AND MICROCONTROLLER 1 Microprocessor Applications Data Acquisition System Data acquisition is the process of sampling signals that measure real world physical conditions ( such as temperature,

More information

Block Diagram , E I F = O 4 ) + J H 6 E E C + E H? K E J +,, H E L A H * E = I + E H? K E J + + % 8,, % 8 +, * * 6 A. H A G K A? O

Block Diagram , E I F = O 4 ) + J H 6 E E C + E H? K E J +,, H E L A H * E = I + E H? K E J + + % 8,, % 8 +, * * 6 A. H A G K A? O PAT No. : 099352 RAM Mapping 488 LCD Controller for I/O MCU Technical Document Application Note Features Operating voltage: 2.7V~5.2V Built-in LCD display RAM Built-in RC oscillator R/W address auto increment

More information

Digital Logic ircuits Circuits Fundamentals I Fundamentals I

Digital Logic ircuits Circuits Fundamentals I Fundamentals I Digital Logic Circuits Fundamentals I Fundamentals I 1 Digital and Analog Quantities Electronic circuits can be divided into two categories. Digital Electronics : deals with discrete values (= sampled

More information

Generation of Gaussian Pulses using FPGA for Simulating Nuclear Counting System

Generation of Gaussian Pulses using FPGA for Simulating Nuclear Counting System Generation of Gaussian Pulses using FPGA for Simulating Nuclear Counting System Mohaimina Begum Md. Abdullah Al Mamun Md. Atiar Rahman Sabiha Sattar Abstract- Nuclear radiation counting system is used

More information

HT162X HT1620 HT1621 HT1622 HT16220 HT1623 HT1625 HT1626 COM

HT162X HT1620 HT1621 HT1622 HT16220 HT1623 HT1625 HT1626 COM RAM Mapping 328 LCD Controller for I/O C Features Operating voltage: 2.7V~5.2V Built-in RC oscillator 1/4 bias, 1/8 duty, frame frequency is 64Hz Max. 328 patterns, 8 commons, 32 segments Built-in internal

More information

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 Abstract Much work have been done lately to develop complex motor control systems. However they

More information

Serial and Parallel Processing Architecture for Signal Synchronization

Serial and Parallel Processing Architecture for Signal Synchronization Serial and Parallel Processing Architecture for Signal Synchronization Franklin Rafael COCHACHIN HENOSTROZA Emmanuel BOUTILLON July 2015 Université de Bretagne Sud Lab-STICC, UMR 6285 Centre de Recherche

More information

Cyclone II Filtering Lab

Cyclone II Filtering Lab May 2005, ver. 1.0 Application Note 376 Introduction The Cyclone II filtering lab design provided in the DSP Development Kit, Cyclone II Edition, shows you how to use the Altera DSP Builder for system

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-27: igital Logic esign Winter 28 SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted

More information

Generation of Digital System Test Patterns Based on VHDL Simulations

Generation of Digital System Test Patterns Based on VHDL Simulations POSTER 2006, PRAGUE MAY 18 1 Generation of Digital System Test Patterns Based on VHDL Simulations Miljana SOKOLOVIĆ 1, Andy KUIPER 2 1 LEDA laboratory, aculty of Electronic Engineering, University of Niš,

More information

Unit-6 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION

Unit-6 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION M i c r o p r o c e s s o r s a n d M i c r o c o n t r o l l e r s P a g e 1 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION Microcomputer system design requires

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-27: igital Logic esign Fall 27 SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted

More information

PATENTED. PAT No. : HT1622/HT1622G RAM Mapping 32 8 LCD Controller for I/O MCU. Features. General Description.

PATENTED. PAT No. : HT1622/HT1622G RAM Mapping 32 8 LCD Controller for I/O MCU. Features. General Description. RAM Mapping 328 LCD Controller for I/O MCU PATENTED PAT No. : 099352 Features Operating voltage: 2.7V~5.2V Built-in RC oscillator 1/4 bias, 1/8 duty, frame frequency is 64Hz Max. 328 patterns, 8 commons,

More information

Hardware Design with VHDL Design Example: UART ECE 443

Hardware Design with VHDL Design Example: UART ECE 443 UART Universal Asynchronous Receiver and Transmitter A serial communication protocol that sends parallel data through a serial line. Typically used with RS-232 standard. Your FPGA boards have an RS-232

More information

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 13.0

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 13.0 Introduction to Simulation of Verilog Designs For Quartus II 13.0 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information