CENG3430 RAPID PROTOTYPING OF DIGITAL SYSTEMS

Size: px
Start display at page:

Download "CENG3430 RAPID PROTOTYPING OF DIGITAL SYSTEMS"

Transcription

1 VHDL 0 (v.7a) : Introduction 1 CENG3430 RAPID PROTOTYPING OF DIGITAL SYSTEMS YANG Ming-Chang mcyang@cse Room 906 SHB WONG Kin Hong khwong@cse Room 907 SHB

2 VHDL 0 (v.7a) : Introduction 2 CENG3430 Rapid Prototyping of Digital Systems You will learn: The hardware description language E.g., VHDL or Verilog Techniques to build a logic system E.g. Building blocks of a Central Processing Unit (CPU) High speed logic circuits analysis: time delay estimation, testing, power supply stability, etc. Ex: VHDL AND-gate Program 1 entity and2 is port (a,b : in std_logic; 2 c : out std_logic); 3 end and2 4 architecture and2_arch of and2 5 begin 6 c <=a and b; 7 end and2_arch Write VHDL code, then it will generate the hardware chip automatically

3 VHDL 0 (v.8) : Introduction 3 CENG3430 Rapid Prototyping of Digital Systems Course Professors: YANG Ming-Chang (mcyang@cse.cuhk.edu.hk) WONG Kin Hong (khwong@cse.cuhk.edu.hk) Course Tutors: Zheng Zhiliang (zlzeng@cse.cuhk.edu.hk) Lo Sheung lai, Lester(sllo@cse.cuhk.edu.hk) Course Time: Lecture: Mon. 4:30-6:15pm (Venue: ERB 404) Laboratory and Tutorial: Tue. 5:30-7:15pm (Venue: SHB-102) Course Website:

4 VHDL 0 (v.8) : Introduction 4 CENG3430 Rapid Prototyping of Digital Systems Weeks Date Lecture Lab Week 1 Jan. 8~9 VHDL 0 & VHDL 1: Introduction No Lab Week 2 Jan. 15~16 VHDL 2: Identifiers, Data Objects and Types Lecture Week 3 Jan. 22~23 VHDL 3: Basic Operators and Arch. Body Introduce Vivado + Software Simulation Week 4 Jan. 29~30 VHDL 4: Building Blocks of a Computer Implement OR Gate Week 5 Feb. 5~6 VHDL 5: Finite State Machines Tri-state Logic + Simulation Week 6 Feb. 12~13 VHDL 6: FSM Examples 4-to-1 Multiplexer Week 7 Feb. 19~20 New Years New Years Week 8 Feb. 26~27 VHDL 7: Use of Signals Serial-in-parallel-out Shift Register Week 9 Mar. 5~6 VHDL 8: Application Examples Driving the Seven Segment Display Week 10 Mar. 12~13 VHDL 9: Programmable Logic Families Software Stopwatch Week 11 Mar. 19~20 New Applications on FPGAs Linux GPIO + LED Week 12 Mar. 26~27 Free Project Project Consultations Week 13 Apr. 2~3 Reading Week Reading Week Week 14 Apr. 9~10 HS_i: Power Supply Systems Project Demo Week 15 Apr. 16~17 HS_ii: Transmission Lines Project Demo

5 VHDL 0 (v.8) : Introduction 5 CENG3430 Rapid Prototyping of Digital Systems Marking Scheme and Exams: Part 1 (50%): Class exercises, laboratory exercises, quizzes and project assignments are accounted for 50% of the overall assessment. Part 2 (50%): The final exam is 50% of the overall assessment. Note: Please check your marks regularly; the marks will not be changed 2 weeks after published online.

6 VHDL 0 (v.7a) : Introduction 6 A QUICK RUN THROUGH Overview

7 VHDL 0 (v.7a) : Introduction 7 Overview What is VHDL used for? To design Hardware systems (an industrial standard) Microprocessors: Arm7 etc. New digital systems: e.g. mobile phone, camera chips

8 VHDL 0 (v.7a) : Introduction 8 Motivations Learn to design digital systems. Provide knowledge for you to : Design products: Robots controllers, media players, portable games, mobile phones. Advanced examples: Image processing Computer vision Super computer Start a business.

9 VHDL 0 (v.7a) : Introduction 9 Examples of Digital System Designs Mass products Media players Mobile phones Novel products Wearable devices Robots Research Real time edge detection for computer vision FPGA-based deep learning acceleration

10 VHDL 0 (v.7a) : Introduction 10 To learn Design digital processing components using programmable logic. Two existing Methods: (a) Schematic Too complicated but is suitable to describe the top level design like a data flow block diagram. (b) Language (e.g. VHDL--Very- High-Speed-Integrated-Circuits Hardware Description Language) Each module in the schematic can be written in VHDL. 1 entity and2 is port (a,b : in std_logic; 2 c : out std_logic); 3 end and2 4 architecture and2_arch of and2 5 begin 6 c <=a and b; 7 end and2_arch

11 VHDL 0 (v.7a) : Introduction 11 DIGITAL DESIGN Work Flow

12 VHDL 0 (v.7a) : Introduction 12 Digital Design Work Flow Idea generation Drafting on paper Design the chip (use VHDL) Test 1 entity and2 is port (a,b : in std_logic; 2 c : out std_logic); 3 end and2 4 architecture and2_arch of and2 5 begin 6 c <=a and b; 7 end and2_arch Manufacturing production line design Quality control

13 VHDL 0 (v.7a) : Introduction 13 WE USE IN OUR LAB Hardware: FPGA (Field Programmable Gate Array) The hardware can be reprogrammable, so you can change your design rapidly and easily with no additional hardware manufacturing cost. Software: VHDL (Very-High-Speed-Integrated- Circuits Hardware Description Language)

14 VHDL 0 (v.7a) : Introduction 14 Re-programmable Hardware: FPGA Field Programmable Gate Array What is inside an FPGA? 1) IOB: Input/Output Block Input/output of FPGA 2) CLB: Configurable Logic Block (static ram based) Change the CLBs to get the desired functions 3) Programmable Interconnects Interconnect IOBs and CLBs From

15 VHDL 0 (v.8) : Introduction 15 Inside a CLB (Configurable Logic block ) The CLB is a fixed design but you can change the logic function by reprogramming the bits in the logic function lookup table. This will change the overall logic function of the CLB. CLB FPGA CLB (Configurable Logic block )

16 VHDL 0 (v.7a) : Introduction 17 Software: To program an FPGA Use a schematic: (Top level design to merge modules) or/and Use a language VHDL (for each module) 1 entity and2 is port (a,b : in std_logic; 2 c : out std_logic); 3 end and2 4 architecture and2_arch of and2 5 begin 6 c <=a and b; 7 end and2_arch

17 VHDL 0 (v.8) : Introduction 18 Development cycle Schematic (diagram) VHDL Language 1 entity and2 is port (a,b : in std_logic; 2 c : out std_logic); 3 end and2 4 architecture and2_arch of and2 5 begin 6 c <=a and b; 7 end and2_arch Simulation

18 VHDL 0 (v.8) : Introduction 19 An example: AND gate in VHDL 1 entity and2 is port (a,b : in std_logic; 2 c : out std_logic); 3 end and2 4 architecture and2_arch of and2 5 begin 6 c <= a and b; 7 end and2_arch a b c <= a and b The chip c

19 VHDL 0 (v.7a) : Introduction 20 Test bench and timing simulation use simulation to see if your design is correct or not. See the tutorial at NG3430_1617_Tutorial_1_7a_test_bench.pptx You create some input patterns and see if the outputs are behaving correctly or not outputs Inputs

20 VHDL 0 (v.7a) : Introduction 21 Summary of VHDL For hardware Design Parallel language (not sequential) Different! (not the same as C++ or Java) VHDL is the industrial standard for CE.

21 VHDL 0 (v.7a) : Introduction 22 COMPUTER ENGINEERING MARKET

22 VHDL 0 (v.7a) : Introduction 23 TSMC (Taiwan Semicon. Manufacturing Comp.) 台灣積體電路製造股份有限公司 From Wiki: Has the largest asset in Taiwan stock market, One of the World's largest dedicated 华为技术有限公司 independent semiconductor foundry. Products: Apple iphone6 plus A8-cpu Relation to VHDL Design idea Write VHDL TSMC Chips

23 VHDL 0 (v.7a) : Introduction 24 Huawei Technologies Co. Ltd 华为技术有限公司 From Wiki: Telecom equipment manufacture China large private company 华为技术有限公司 ( ) Products: the second-largest supplier of mobile telecommunications infrastructure equipment in the world (after Ericsson).

24 VHDL 0 (v.7a) : Introduction 25 References See course web page Digital Systems Design Using VHDL, Charles H. Roth (first or second edition) Rapid Prototyping of Digital Systems, by Hamblen, James etal. Springer (read_online) Digital Design: Principles and Practices, 4/E John F. Wakerly, Prentice Hall. High-Speed Digital Design: A Handbook of Black Magic by Howard W. Johnson and Martin Graham Prentice Hall. BOOKBOON (Free text books)

25 VHDL 0 (v.7a) : Introduction 29 TRI-STATE LOGIC The concept of tri-state logic is essential in computer design, so we want to revise these techniques before we move on.

26 VHDL 0 (v.7a) : Introduction 30 Appendix: Tri-state logic **At the float state, the wire is cut Input OE (input) Output 0 0 Z(Float) 1 0 Z(Float) Input Output Enable (OE) Output

27 Tri-state equivalent circuit VHDL 0 (v.7a) : Introduction 31 (using output connect/cut view) Input Output Output Enable (OE) Same as Input Output Enable (OE) Output OE=1, switch close OE=0, switch open

28 VHDL 0 (v.7a) : Introduction 32 Alternatively: We can treat the Tri-state equivalent circuit using the Rout impedance view A tri-state circuit diagram Input Output Enable (OE) Output Tri-state equivalent circuit : Rout impedance view to explain the concept of tri-stat OE (output enable) controls the value of Rout Input Same as Rout Output Output Enable (OE) When OE=1, Rout = small, (e.g. 50 Ω ) When OE=0, Rout = infinity (e.g. 10 MΩ)

29 VHDL 0 (v.7a) : Introduction 33 Student ID:,Date: Name: Exercise 0.1:Tri-state logic with pull up resistor Output-Enable Input OE (input) Output 0 0? 1 0? 0 1? 1 1? Input Output Enable (OE) 10K 5V Output **At float the wire is cut A pull-up resistor is a resistor used to ensure a known state for a signal

30 VHDL 0 (v.7a) : Introduction 34 Exercise 0.2: Use Rout (Impedance view) to explain the result of exercise 0.1 Input1 Output- Enable OE (input) Output Equivalent Rout (10M or 50 ) 0 0? _1?? 1 0? _1?? 0 1? _0?? 1 1? _1?? Draw equivalent circuit and find output Voltage Resistance view Ohm s Law Hirchhoff Circuit Law:

31 VHDL 0 (v.7a) : Introduction 35 Exercise 0.3 Application 1 of Tri-state logic: Input/Output pin OE1 controls the traffic. Fill in the cells with?. A Directional control(oe1) B A Output Enable OE1 (input) 0 0? 1 0?? 1 0 B? 1 1

32 Exercise 0.4 Application 2 of Tri-state logic: Transceivers for I/O data pins When T =1, A->B; T controls the traffic, when /OE=1, IO pins A,B are disabled Fill in the cells with?. VHDL 0 (v.7a) : Introduction 36 T A Output Enable /OE1 (input) 1 0 0?? B Which controls which A 0 1 0?? T B?? 1 Float? /OE? Float 1??

33 VHDL 0 (v.7a) : Introduction 37 All data-lines are transceiver buffers A good controller will enable the CPU to read/write RAM, and read ROM RAM data lines /OE1, T1 transceivers CPU data lines transceivers /OE3, T3 /OE2, T2 transceivers ROM data lines

34 Exercise 0.5 : List OE1,2,3 and T1,2,3 for the followings cases a) CPU writes to RAM: /OE1=, /OE2, /OE3=, T1, T2=, T3 b) CPU reads from ROM /OE1=, /OE2, /OE3=, T1, T2=, T3 c) CPU reads from RAM VHDL 0 (v.7a) : Introduction 38 /OE1=, /OE2, /OE3=, T1, T2=, T3 RAM data lines /OE1, T1 A transceivers CPU data lines A transceivers B B /OE3, T3 /OE2, T2 transceivers B A ROM data lines

35 Exercise 0.6 Application 3 of Tri-state logic: Selection of control signal (resolved logic) Output depends on Input_A if OE is _? Output depends on Input_B if OE is _? Discuss the operation of this circuit. 39 Input_A Output Input_B OE VHDL 0 (v.7a) : Introduction

36 VHDL 0 (v.7a) : Introduction 40 Exercise 0.7 Fill in?. Is it a nor-gate or an or-gate? Discuss the operation of this circuit. Answer : Pull-up Resistor OE1 OE2 Output 5V 0 0? 10K 1 0? Output 0V 0 1? OE1 1 1? OE2 0V

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

Overview 256 channel Silicon Photomultiplier large area using matrix readout system The SensL Matrix detector () is the largest area, highest channel

Overview 256 channel Silicon Photomultiplier large area using matrix readout system The SensL Matrix detector () is the largest area, highest channel 技股份有限公司 wwwrteo 公司 wwwrteo.com Page 1 Overview 256 channel Silicon Photomultiplier large area using matrix readout system The SensL Matrix detector () is the largest area, highest channel count, Silicon

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL

Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL Digital Circuits II Lecture 6 Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL References (Text Book): 1) Digital Electronics, 9 th editon,

More information

Combinational Circuits: Multiplexers, Decoders, Programmable Logic Devices

Combinational Circuits: Multiplexers, Decoders, Programmable Logic Devices Combinational Circuits: Multiplexers, Decoders, Programmable Logic Devices Lecture 5 Doru Todinca Textbook This chapter is based on the book [RothKinney]: Charles H. Roth, Larry L. Kinney, Fundamentals

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

PROGRAMMABLE ASICs. Antifuse SRAM EPROM

PROGRAMMABLE ASICs. Antifuse SRAM EPROM PROGRAMMABLE ASICs FPGAs hold array of basic logic cells Basic cells configured using Programming Technologies Programming Technology determines basic cell and interconnect scheme Programming Technologies

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

WHAT ARE FIELD PROGRAMMABLE. Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning?

WHAT ARE FIELD PROGRAMMABLE. Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning? WHAT ARE FIELD PROGRAMMABLE Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning? They re none of the above! We re going to take a look at: Field Programmable

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

EECS 270 Schedule and Syllabus for Fall 2011 Designed by Prof. Pinaki Mazumder

EECS 270 Schedule and Syllabus for Fall 2011 Designed by Prof. Pinaki Mazumder EECS 270 Schedule and Syllabus for Fall 2011 Designed by Prof. Pinaki Mazumder Week Day Date Lec No. Lecture Topic Textbook Sec Course-pack HW (Due Date) Lab (Start Date) 1 W 7-Sep 1 Course Overview, Number

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

In this lecture: Lecture 8: ROM & Programmable Logic Devices

In this lecture: Lecture 8: ROM & Programmable Logic Devices In this lecture: Lecture 8: ROM Programmable Logic Devices Dr Pete Sedcole Department of EE Engineering Imperial College London http://caseeicacuk/~nps/ (Floyd, 3 5, 3) (Tocci 2, 24, 25, 27, 28, 3 34)

More information

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012 Advanced FPGA Design Tinoosh Mohsenin CMPE 491/691 Spring 2012 Today Administrative items Syllabus and course overview Digital signal processing overview 2 Course Communication Email Urgent announcements

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digital Logic Circuits Chapter 3: Implementation Technology Curtis Nelson Chapter 3 Overview In this chapter you will learn about: How transistors are used as switches; Integrated circuit technology;

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

FPGA & Pulse Width Modulation. Digital Logic. Programing the FPGA 7/23/2015. Time Allotment During the First 14 Weeks of Our Advanced Lab Course

FPGA & Pulse Width Modulation. Digital Logic. Programing the FPGA 7/23/2015. Time Allotment During the First 14 Weeks of Our Advanced Lab Course 1.9.8.7.6.5.4.3.2.1.5 1 1.5 2 2.5 3 3.5 4 4.5 5 5.5 6 6.5 DAC Vin 7/23/215 FPGA & Pulse Width Modulation Allotment During the First 14 Weeks of Our Advanced Lab Course Sigma Delta Pulse Width Modulated

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

HiPerClockS TM Application Note High Speed LVCMOS Driver Termination Design Guide

HiPerClockS TM Application Note High Speed LVCMOS Driver Termination Design Guide This application note provides general design guide for high speed LVCMOS driver termination. To handle high speed LVCMOS drivers, general rules for high-speed digital board design must be carefully followed.

More information

ECE 124 Digital Circuits and Systems Winter 2011 Introduction Calendar Description:

ECE 124 Digital Circuits and Systems Winter 2011 Introduction Calendar Description: ECE 124 Digital Circuits and Systems Winter 2011 Introduction Calendar Description: Number systems. Switching algebra. Hardware description languages. Simplification of Boolean functions. Combinational

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

FPGA Circuits. na A simple FPGA model. nfull-adder realization

FPGA Circuits. na A simple FPGA model. nfull-adder realization FPGA Circuits na A simple FPGA model nfull-adder realization ndemos Presentation References n Altera Training Course Designing With Quartus-II n Altera Training Course Migrating ASIC Designs to FPGA n

More information

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic EE 330 Lecture 44 Digital Circuits Dynamic Logic Circuits Course Evaluation Reminder - All Electronic Digital Building Blocks Shift Registers Sequential Logic Shift Registers (stack) Array Logic Memory

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

Programmable Interconnect. CPE/EE 428, CPE 528: Session #13. Actel Programmable Interconnect. Actel Programmable Interconnect

Programmable Interconnect. CPE/EE 428, CPE 528: Session #13. Actel Programmable Interconnect. Actel Programmable Interconnect Programmable Interconnect CPE/EE 428, CPE 528: Session #13 Department of Electrical and Computer Engineering University of Alabama in Huntsville In addition to programmable cells, programmable ASICs must

More information

ENGG 2310-B Principles of Communication Systems

ENGG 2310-B Principles of Communication Systems ENGG 2310-B Principles of Communication Systems Introduction and Course Overview Prof. Wing-Kin Ma Department of Electronic Engineering September 3, 2018 Most of the pictures were taken from the internet.

More information

Course Overview. Course Overview

Course Overview. Course Overview Course Overview Where does this course fit into the Electrical Engineering curriculum? Page 5 Course Overview Where does this course fit into the Computer Engineering curriculum? Page 6 3 Course Content

More information

PROGRAMMABLE ASIC INTERCONNECT

PROGRAMMABLE ASIC INTERCONNECT PROGRAMMABLE ASIC INTERCONNECT The structure and complexity of the interconnect is largely determined by the programming technology and the architecture of the basic logic cell The first programmable ASICs

More information

Introduction. BME208 Logic Circuits Yalçın İŞLER

Introduction. BME208 Logic Circuits Yalçın İŞLER Introduction BME208 Logic Circuits Yalçın İŞLER islerya@yahoo.com http://me.islerya.com 1 Lecture Three hours a week (three credits) No other sections, please register this section Tuesday: 09:30 12:15

More information

APPLICATION OF PROGRAMMABLE LOGIC DEVICES FOR ACQUISITION OF ECG SIGNAL WITH PACEMAKER PULSES 1. HISTORY OF PROGRAMMABLE CIRCUITS

APPLICATION OF PROGRAMMABLE LOGIC DEVICES FOR ACQUISITION OF ECG SIGNAL WITH PACEMAKER PULSES 1. HISTORY OF PROGRAMMABLE CIRCUITS JOURNAL OF MEDICAL INFORMATICS & TECHNOLOGIES Vol.4/2002, ISSN 1642-6037 Leszek DREWNIOK *, Janusz ZMUDZINSKI *, Jerzy GALECKA *, Adam GACEK * programmable circuits ECG acquisition with cardiostimulator

More information

Communication Microelectronics ELCT508 (W17) Lecture 1: Introduction Dr. Eman Azab Assistant Professor Office: C

Communication Microelectronics ELCT508 (W17) Lecture 1: Introduction Dr. Eman Azab Assistant Professor Office: C Communication Microelectronics ELCT508 (W17) Lecture 1: Introduction Assistant Professor Office: C3.315 E-mail: eman.azab@guc.edu.eg 1 Course Team Lecturer Teaching Assistants Contact Information E-mail:

More information

Instructional Demos, In-Class Projects, & Hands-On Homework: Active Learning for Electrical Engineering using the Analog Discovery

Instructional Demos, In-Class Projects, & Hands-On Homework: Active Learning for Electrical Engineering using the Analog Discovery Instructional Demos, In-Class Projects, & Hands-On Homework: Active Learning for Electrical Engineering using the Analog Discovery by Dr. Gregory J. Mazzaro Dr. Ronald J. Hayne THE CITADEL, THE MILITARY

More information

Welcome to 6.111! Introductory Digital Systems Laboratory

Welcome to 6.111! Introductory Digital Systems Laboratory Welcome to 6.111! Introductory Digital Systems Laboratory Handouts: Info form (yellow) Course Calendar Safety Memo Kit Checkout Form Lecture slides Lectures: Chris Terman TAs: Karthik Balakrishnan HuangBin

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 3, Issue 1, January 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Design of Digital

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS August 29, 2002 John Wawrzynek Fall 2002 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information

PRESENTATION OF THE PROJECTX-FINAL LEVEL 1.

PRESENTATION OF THE PROJECTX-FINAL LEVEL 1. Implementation of digital it frequency dividersid PRESENTATION OF THE PROJECTX-FINAL LEVEL 1. Why frequency divider? Motivation widely used in daily life Time counting (electronic clocks, traffic lights,

More information

Senior Capstone Project Proposal Reconfigurable FPGA Implementation Of Digital Communication System

Senior Capstone Project Proposal Reconfigurable FPGA Implementation Of Digital Communication System Senior Capstone Project Proposal Reconfigurable FPGA Implementation Project Members Steve Koziol Josh Romans Project Advisor Dr T.L. Stewart Bradley University Department of Electrical & Computer Engineering

More information

PWM LED Color Control

PWM LED Color Control 1 PWM LED Color Control Through the use temperature sensors, accelerometers, and switches to finely control colors. Daniyah Alaswad, Joshua Creech, Gurashish Grewal, & Yang Lu Electrical and Computer Engineering

More information

ECE 241 Digital Systems. Basic Information

ECE 241 Digital Systems. Basic Information ECE 241 Digital Systems Fall 2013 J. Anderson, P. Chow, K. Truong, B. Wang Basic Information Instructors and Lecture Information Section 1 2 3 4 Instructor Jason Anderson Kevin Truong Paul Chow Belinda

More information

Four-Way Traffic Light Controller Designing with VHDL

Four-Way Traffic Light Controller Designing with VHDL Four-Way Traffic Light Controller Designing with VHDL Faizan Mansuri Email:11bec024@nirmauni.ac.in Viraj Panchal Email:11bec047@nirmauni.ac.in Department of Electronics and Communication,Institute of Technology,

More information

Gomoku Player Design

Gomoku Player Design Gomoku Player Design CE126 Advanced Logic Design, winter 2002 University of California, Santa Cruz Max Baker (max@warped.org) Saar Drimer (saardrimer@hotmail.com) 0. Introduction... 3 0.0 The Problem...

More information

EE 280 Introduction to Digital Logic Design

EE 280 Introduction to Digital Logic Design EE 280 Introduction to Digital Logic Design Lecture 1. Introduction EE280 Lecture 1 1-1 Instructors: EE 280 Introduction to Digital Logic Design Dr. Lukasz Kurgan (section A1) office: ECERF 6 th floor,

More information

MN 345: AUTOMATED MANUFACTURING Spring 2008 (4 credits)

MN 345: AUTOMATED MANUFACTURING Spring 2008 (4 credits) Boston University, College of Engineering, Dept. of Manufacturing Engineering MN 345: AUTOMATED MANUFACTURING Spring 2008 (4 credits) Professor Christos G. Cassandras Room 128, 15 St. Mary's St. TEL: 353-7154,

More information

EE 230. Electronic Circuits and Systems. Randy Geiger 2133 Coover

EE 230. Electronic Circuits and Systems. Randy Geiger 2133 Coover EE 230 Electronic Circuits and Systems Randy Geiger 2133 Coover rlgeiger@iastate.edu 294-7745 Course Description Linear Systems Frequency domain characterization of electronic circuits and systems transfer

More information

MCP to 2.5 GHz RF Front End IC. Description

MCP to 2.5 GHz RF Front End IC. Description Description The contains a power amplifier (PA), a low noise amplifier (), and two SPDT switch. It is a 0pins IC by 4 4mm QFN package. RF input and output impedance of are 50Ω matched. Therefore, precious

More information

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS Satish Mohanakrishnan and Joseph B. Evans Telecommunications & Information Sciences Laboratory Department of Electrical Engineering

More information

Hardware Implementation of BCH Error-Correcting Codes on a FPGA

Hardware Implementation of BCH Error-Correcting Codes on a FPGA Hardware Implementation of BCH Error-Correcting Codes on a FPGA Laurenţiu Mihai Ionescu Constantin Anton Ion Tutănescu University of Piteşti University of Piteşti University of Piteşti Alin Mazăre University

More information

Data Sheet. Customer: Pulse Withstanding Chip Resistor - PWR Series(High Power) Size: 0603/0805/1206/1210 Issued Date: 18-Feb-17

Data Sheet. Customer: Pulse Withstanding Chip Resistor - PWR Series(High Power) Size: 0603/0805/1206/1210 Issued Date: 18-Feb-17 Data Sheet Customer: Product: - PWR Series(High Power) Size: ///12 Issued Date: 18-Feb-17 Edition: Draft VIKING TECH CORPORATION 光頡科技股份有限公司 No.70 Guangfu N. Rd., Hukou Township, Hsinchu County 303, Taiwan

More information

Digital Fundamentals. Introductory Digital Concepts

Digital Fundamentals. Introductory Digital Concepts Digital Fundamentals Introductory Digital Concepts Objectives Explain the basic differences between digital and analog quantities Show how voltage levels are used to represent digital quantities Describe

More information

TC55VBM316AFTN/ASTN40,55

TC55VBM316AFTN/ASTN40,55 TENTATIVE TOSHIBA MOS DIGITAL INTEGRATED CIRCUIT SILICON GATE CMOS 524,288-WORD BY 16-BIT/1,048,576-WORD BY 8-BIT FULL CMOS STATIC RAM DESCRIPTION The TC55VBM316AFTN/ASTN is a 8,388,608-bit static random

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

Electronic Circuits for Mechatronics ELCT609 Lecture 1: Introduction

Electronic Circuits for Mechatronics ELCT609 Lecture 1: Introduction Electronic Circuits for Mechatronics ELCT609 Lecture 1: Introduction Assistant Professor Office: C3.315 E-mail: eman.azab@guc.edu.eg 1 Course Team Contact Information Lecturer Teaching Assistants E-mail:

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2017 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

Field Programmable Gate Array

Field Programmable Gate Array 9 Field Programmable Gate Array This chapter introduces the principles, implementation and programming of configurable logic circuits, from the point of view of cell design and interconnection strategy.

More information

Lecture 3: Logic circuit. Combinational circuit and sequential circuit

Lecture 3: Logic circuit. Combinational circuit and sequential circuit Lecture 3: Logic circuit Combinational circuit and sequential circuit TRAN THI HONG HONG@IS.NAIST.JP Content Lecture : Computer organization and performance evaluation metrics Lecture 2: Processor architecture

More information

Space Hardware. ENG 4350 H. Chesser CSE 1012U W1-F

Space Hardware. ENG 4350 H. Chesser CSE 1012U W1-F Space Hardware ENG 4350 H. Chesser CSE 1012U Course Overview Context spacecraft communications, ground station equipment Links/applies/integrates theoretical concepts from a number of courses: PHYS 3050,

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

FILTER_0/Prog. Delay Combination Function Macrocells Pin 3 GPIO RC Oscillator. 2-bit LUT2_0 or DFF0. 3bit LUT3_0 or DFF2

FILTER_0/Prog. Delay Combination Function Macrocells Pin 3 GPIO RC Oscillator. 2-bit LUT2_0 or DFF0. 3bit LUT3_0 or DFF2 GreenPAK Ultra-small Programmable Mixed-signal Matrix Features Pin Configuration Logic & Mixed Signal Circuits Highly Versatile Macro Cells 1.8 V (±5%) to 5 V (±10%) Supply Operating Temperature Range:

More information

Alfa MOS Technology Corp. Company Profile Aug. 2015

Alfa MOS Technology Corp. Company Profile Aug. 2015 Alfa MOS Technology Corp. Company Profile Aug. 2015 Background Alfa-MOS Technology Corp. is established in 2010, Taipei, Taiwan. Company is near to Taipei NanKang Sofeware Park (NKSP). Alfa-MOS Technology

More information

SPECTRUM QAM ANALYZER SM-2008

SPECTRUM QAM ANALYZER SM-2008 SPECTRUM QAM ANALYZER SM-2008 OPERATOR S MANUAL 金赛讯 ( 天津 ) 电子技术有限公司 Jinsaixun(Tianjin)electronics technology co., ltd Please read this manual carefully before using it for the first time. The technical

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

DEGREE: BACHELOR IN INDUSTRIAL ELECTRONICS AND AUTOMATION YEAR: 2ND TERM: 2ND

DEGREE: BACHELOR IN INDUSTRIAL ELECTRONICS AND AUTOMATION YEAR: 2ND TERM: 2ND SESSION WEEK COURSE: ELECTRONICS ENGINEERING FUNDAMENTALS DEGREE: BACHELOR IN INDUSTRIAL ELECTRONICS AND AUTOMATION YEAR: 2ND TERM: 2ND The course has 29 sessions distributed during 15 weeks. The duration

More information

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

Linköping University. Reinventing research and education

Linköping University. Reinventing research and education Linköping University Reinventing research and education J Jacob Wikner Electronics Systems Department of Electrical Engineering Lecture 1, ANDA Course introduction, CMOS basics Analog design, second course

More information

Imaging serial interface ROM

Imaging serial interface ROM Page 1 of 6 ( 3 of 32 ) United States Patent Application 20070024904 Kind Code A1 Baer; Richard L. ; et al. February 1, 2007 Imaging serial interface ROM Abstract Imaging serial interface ROM (ISIROM).

More information

Digital Logic ircuits Circuits Fundamentals I Fundamentals I

Digital Logic ircuits Circuits Fundamentals I Fundamentals I Digital Logic Circuits Fundamentals I Fundamentals I 1 Digital and Analog Quantities Electronic circuits can be divided into two categories. Digital Electronics : deals with discrete values (= sampled

More information

TOSHIBA MOS DIGITAL INTEGRATED CIRCUIT SILICON GATE CMOS

TOSHIBA MOS DIGITAL INTEGRATED CIRCUIT SILICON GATE CMOS TENTATIVE TOSHIBA MOS DIGITAL INTEGRATED CIRCUIT SILICON GATE CMOS 262,144-WORD BY 16-BIT FULL CMOS STATIC RAM DESCRIPTION The TC55YEM216ABXN is a 4,194,304-bit static random access memory (SRAM) organized

More information

Digital Design With Cpld Applications And Vhdl 2nd Edition Solution Manual

Digital Design With Cpld Applications And Vhdl 2nd Edition Solution Manual Digital Design With Cpld Applications And Vhdl 2nd Edition Solution Manual DIGITAL DESIGN WITH CPLD APPLICATIONS AND VHDL 2ND EDITION SOLUTION MANUAL PDF - Are you looking for digital design with cpld

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

FPGA Laboratory Assignment 5. Due Date: 26/11/2012

FPGA Laboratory Assignment 5. Due Date: 26/11/2012 FPGA Laboratory Assignment 5 Due Date: 26/11/2012 Aim The purpose of this lab is to help you understand the fundamentals image processing. Objectives Learn how to implement image processing operations

More information

Exercise 2: OR/NOR Logic Functions

Exercise 2: OR/NOR Logic Functions Exercise 2: OR/NOR Logic Functions EXERCISE OBJECTIVE When you have completed this exercise, you will be able to determine the operation of an OR and a NOR logic gate. You will verify your results by generating

More information

visit website regularly for updates and announcements

visit website regularly for updates and announcements ESE 372: Electronics Spring 2013 Web site: www.ece.sunysb.edu/~oe/leon.html visit website regularly for updates and announcements Prerequisite: ESE 271 Corequisites: ESE 211 Text Books: A.S. Sedra, K.C.

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

USING EMBEDDED PROCESSORS IN HARDWARE MODELS OF ARTIFICIAL NEURAL NETWORKS

USING EMBEDDED PROCESSORS IN HARDWARE MODELS OF ARTIFICIAL NEURAL NETWORKS USING EMBEDDED PROCESSORS IN HARDWARE MODELS OF ARTIFICIAL NEURAL NETWORKS DENIS F. WOLF, ROSELI A. F. ROMERO, EDUARDO MARQUES Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação

More information

Philips Semiconductors Programmable Logic Devices

Philips Semiconductors Programmable Logic Devices DESCRIPTION The PLD is a high speed, combinatorial Programmable Logic Array. The Philips Semiconductors state-of-the-art Oxide Isolated Bipolar fabrication process is employed to produce maximum propagation

More information

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Overview When developing and debugging I 2 C based hardware and software, it is extremely helpful

More information

Implementation of a Telecommunications Trainer System. by: Shaima Abdelmageed T94765

Implementation of a Telecommunications Trainer System. by: Shaima Abdelmageed T94765 Implementation of a Telecommunications Trainer System by: Shaima Abdelmageed T94765 What is FPGA? A field programmable gate array (FPGA) is a semiconductor device containing programmable logic blocks and

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Understanding Timing in Altera CPLDs

Understanding Timing in Altera CPLDs Understanding Timing in Altera CPLDs AN-629-1.0 Application Note This application note describes external and internal timing parameters, and illustrates the timing models for MAX II and MAX V devices.

More information

Academic Course Description

Academic Course Description BEC010- VLSI Design Academic Course Description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering BEC010 VLSI Design Sixth Semester (Elective)

More information

CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER

CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER 8 CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER 6.1 INTRODUCTION In this part of research, a proto type model of FPGA based nine level cascaded inverter has been fabricated to improve

More information

DS1075. EconOscillator/Divider PRELIMINARY FEATURES PIN ASSIGNMENT FREQUENCY OPTIONS

DS1075. EconOscillator/Divider PRELIMINARY FEATURES PIN ASSIGNMENT FREQUENCY OPTIONS PRELIMINARY EconOscillator/Divider FEATURES Dual Fixed frequency outputs (200 KHz 100 MHz) User programmable on chip dividers (from 1 513) User programmable on chip prescaler (1, 2, 4) No external components

More information

Design And Implementation of FM0/Manchester coding for DSRC. Applications

Design And Implementation of FM0/Manchester coding for DSRC. Applications Design And Implementation of / coding for DSRC Applications Supriya Shivaji Garade, Prof.P.R.Badadapure Department of Electronics and Telecommunication JSPM s Imperial College of Engineering and Research

More information

EE19D Digital Electronics. Lecture 1: General Introduction

EE19D Digital Electronics. Lecture 1: General Introduction EE19D Digital Electronics Lecture 1: General Introduction 1 What are we going to discuss? Some Definitions Digital and Analog Quantities Binary Digits, Logic Levels and Digital Waveforms Introduction to

More information

FPGA BASED DATA AQUISITION SYSTEMS FOR PHYSICS EXPERIMENTS

FPGA BASED DATA AQUISITION SYSTEMS FOR PHYSICS EXPERIMENTS INTERNATIONAL PHD PROJECTS IN APPLIED NUCLEAR PHYSICS AND INNOVATIVE TECHNOLOGIES This project is supported by the Foundation for Polish Science MPD program, co-financed by the European Union within the

More information

Academic Course Description

Academic Course Description BEC010- VLSI Design Academic Course Description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering BEC010 VLSI Design Fifth Semester (Elective)

More information

A Modular Approach to the Design of the Soft Output Viterbi Algorithm (SOVA) Decoder

A Modular Approach to the Design of the Soft Output Viterbi Algorithm (SOVA) Decoder A Modular Approach to the Design of the Soft Output Viterbi Algorithm (SOVA) Decoder Jacques Martinet and Paul Fortier Département de génie électrique et de génie informatique Université Laval, Sainte-Foy

More information

Workshops Elisava Introduction to programming and electronics (Scratch & Arduino)

Workshops Elisava Introduction to programming and electronics (Scratch & Arduino) Workshops Elisava 2011 Introduction to programming and electronics (Scratch & Arduino) What is programming? Make an algorithm to do something in a specific language programming. Algorithm: a procedure

More information

Understanding Engineers #2

Understanding Engineers #2 Understanding Engineers #! The graduate with a Science degree asks, "Why does it work?"! The graduate with an Engineering degree asks, "How does it work?"! The graduate with an Accounting degree asks,

More information

-SQA- SCOTTISH QUALIFICATIONS AUTHORITY HIGHER NATIONAL UNIT SPECIFICATION GENERAL INFORMATION

-SQA- SCOTTISH QUALIFICATIONS AUTHORITY HIGHER NATIONAL UNIT SPECIFICATION GENERAL INFORMATION -SQA- SCOTTISH QUALIFICATIONS AUTHORITY HIGHER NATIONAL UNIT SPECIFICATION GENERAL INFORMATION -Unit Number- 8411894 -Superclass- CA -Title- MICROCONTROLLERS: ARCHITECTURE, PROGRAMMING AND APPLICATIONS

More information

Lab 2.2 Custom slave programmable interface

Lab 2.2 Custom slave programmable interface Lab 2.2 Custom slave programmable interface Introduction In the previous labs, you used a system integration tool (Qsys) to create a full FPGA-based system comprised of a processor, on-chip memory, a JTAG

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

Hardware Implementation of Automatic Control Systems using FPGAs

Hardware Implementation of Automatic Control Systems using FPGAs Hardware Implementation of Automatic Control Systems using FPGAs Lecturer PhD Eng. Ionel BOSTAN Lecturer PhD Eng. Florin-Marian BÎRLEANU Romania Disclaimer: This presentation tries to show the current

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology CMOS Digital Logic Design with Verilog Chapter1 Digital IC Design &Technology Chapter Overview: In this chapter we study the concept of digital hardware design & technology. This chapter deals the standard

More information

Welcome to 6.S084! Computation Structures (special)

Welcome to 6.S084! Computation Structures (special) Welcome to 6.S084! Computation Structures (special) Spring 2018 6.S084 Course Staff Instructors Arvind arvind@csail.mit.edu Daniel Sanchez sanchez@csail.mit.edu Teaching Assistants Silvina Hanono Wachman

More information

Pre-Proposal Presentation Team 1: Portable Micro-sensor Reader

Pre-Proposal Presentation Team 1: Portable Micro-sensor Reader Pre-Proposal Presentation Team 1: Portable Micro-sensor Reader Sponsors: - MSU Technologies Dr. Shantanu Chakrabartty - National Science Foundation Facilitator: Dr. Fathi Salem Team Members: Ron Razalan,

More information