Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL

Size: px
Start display at page:

Download "Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL"

Transcription

1 Digital Circuits II Lecture 6 Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL References (Text Book): 1) Digital Electronics, 9 th editon, by William Kleitz, published by Pearson Spring 2015 Paul I-Hai Lin, Professor Dept. of Computer, Electrical and Information Technology Indiana University-Purdue University Fort Wayne Prof. Paul Lin 1 Lab Demo 3 Extra Features of Altera Quartus II Analysis & Synthesis Messages (no used input) Simplification Equations through Altera Quartus II Processing > Compilation Report Tools > Netlist Viewer Block Symbol file (*.bdf) creation Using VHDL features to enter truth table: SIGNAL, vector (bit array) WITH, SELECT, WHEN Prof. Paul Lin 2 1

2 VHDL Code for Example 5-9 The Boolean Equation X = A + B B + C B --ex5_9.vhd LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY ex5_9 IS PORT( a,b,c : IN std_logic; x : OUT std_logic); END ex5_9; ARCHITECTURE arc OF ex5_9 IS BEGIN x <=((a OR NOT b) AND (b OR c))and b; END arc; Prof. Paul Lin 3 Altera Quartus II Simplified Equation and Form of the Circuit Before Synthesize the Circuit Create New Project Assignments > Devices (EP3C16F484C6) File > New File > VHDL file (save as ex5_9.vhd) Assignments > Settings > Simulator Settings (Functional) Processing > Start Compilation Processing > Compilation Report ( to see Analysis & Synthesis Messages) Warning: Design contains 1 input pin(s) that do not drive logic No output dependent on input pin (a) Node Finder > List (to show a, b, c, and x) Create ex5_9.vwf file Processing > Generate Functional Simulation Netlist Processing > Start Simulation Prof. Paul Lin 4 2

3 Altera Quartus II Simplified Equation and Form of the Circuit Before Synthesize the Circuit Simulation Result X is HIGH for a AND b, regardless of c Prof. Paul Lin 5 Altera Quartus II Simplified Equation and Form of the Circuit Before Synthesize the Circuit Create Block Symbol file File > New > Other Files > Block Symbol File Ex5_9.bdf Connect inputs: a, b, c; and output x ex5_9 a b c x inst Prof. Paul Lin 6 3

4 Altera Quartus II Simplified Equation and Form of the Circuit Before Synthesize the Circuit View Simplified Equation Tools > Chip Planner > Edit > find > Find What: x > Find Next > Cancel In the Fan-In column click [< GoTo] See the equation listed: A Prof. Paul Lin 7 Altera Quartus II Simplified Equation and Form of the Circuit Before Synthesize the Circuit Quartus II Operators: & AND operator,! NOT Operator, # OR Operator, $ EX-OR operator Tools > Netlist Viewers > technology Map Viewer Post Mapping Prof. Paul Lin 8 4

5 VHDL Code with Simplified Equation Click on Hide Content/Display Content to see Gates Prof. Paul Lin 9 VHDL Code with Simplified Equation The Boolean Equation X = A + B B + C B The simplified equation Y = AB --ex5_9.vhd LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY ex5_9 IS PORT( a,b,c : IN std_logic; x, y : OUT std_logic); END ex5_9; ARCHITECTURE arc OF ex5_9 IS BEGIN x <=((a OR NOT b) AND (b OR c))and b; y <= a AND b; -- added to show the result after the simplification END arc; Prof. Paul Lin 10 5

6 VHDL Code with Simplified Equation The Boolean Equation X = A + B B + C B The simplified equation Y = AB Observed identical outputs at x and y Prof. Paul Lin 11 Simplified Boolean Equation using Altera Quartus II - Example 5-17 Example 5-17 (pages ): simplify the two equations X = ((AB + (B + C)) and Y = (AB) + (B+C) --ex5_17.vhd LIBRARY ieee; --Using VHDL to Simplify Equations USE ieee.std_logic_1164.all; ENTITY ex5_17 IS PORT( a,b,c : IN std_logic; x, y : OUT std_logic); END ex5_17; ARCHITECTURE arc OF ex5_17 IS BEGIN x<=not((a AND b) OR (NOT b OR c)); y<=(a NAND b) OR (b NOR c); END arc; Prof. Paul Lin 12 6

7 Simplified Boolean Equation using Altera Quartus II - Example 5-17 Tools > Netlist Viewers > technology Map Viewer Post Mapping Prof. Paul Lin 13 Simplified Boolean Equation using Altera Quartus II - Example 5-17 Tools > Netlist Viewers > technology Map Viewer Post Mapping Click on Hide Content/Display Content to see Gates Write the equations from Netlist Viewer X = ((AB + (B + C)) = A BC Y = (AB) + (B+C) = A + B = (AB) Prof. Paul Lin 14 7

8 Entering a Truth Table in VHDL using a Vector Signal - Example 5-22 Example 5-22 (page 194): Design a logic circuit that can be used to tell when a 3-bit binary number is within the range of 2 (010) to 6 (110). Also test the logic using the DE0 board. Prof. Paul Lin 15 Entering a Truth Table in VHDL using a Vector Signal - Example 5-22 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY ex5_22 IS PORT( a,b,c : IN std_logic; x : OUT std_logic); END ex5_22; ARCHITECTURE arc OF ex5_22 IS SIGNAL input : std_logic_vector(2 DOWNTO 0); BEGIN input(2)<=a; --move a to element 2 of the internal vector signal input(1)<=b; --move b to element 1 of the internal vector signal input(0)<=c; --move c to element 0 of the internal vector signal. Prof. Paul Lin 16 8

9 Entering a Truth Table in VHDL using a Vector Signal - Example 5-22 ARCHITECTURE arc OF ex5_22 IS SIGNAL input : std_logic_vector(2 DOWNTO 0); BEGIN input(2)<=a; --move a to element 2 of the internal vector signal input(1)<=b; --move b to element 1 of the internal vector signal input(0)<=c; --move c to element 0 of the internal vector signal WITH input SELECT x <= '0' WHEN "000", -- x equals 0 when input equals "000" '0' WHEN "001", -- x equals 0 when input equals "001" '1' WHEN "010", -- x equals 1 when input equals "010" '1' WHEN "011", -- x equals 1 when input equals "011" '1' WHEN "100", -- x equals 1 when input equals "100" '1' WHEN "101", -- x equals 1 when input equals "101" '1' WHEN "110", -- x equals 1 when input equals "110" '0' WHEN "111", -- x equals 0 when input equals "111" '0' WHEN others; END arc; Prof. Paul Lin 17 Entering a Truth Table in VHDL using a Vector Signal - Example 5-22 Simulation Output X is HIGH for 2, 3, 4, 5, and 6 Prof. Paul Lin 18 9

10 Entering a Truth Table in VHDL using a Vector Signal - Example 5-22 Tools > Netlist Viewers > technology Map Viewer Post Mapping Prof. Paul Lin 19 Overflow sensing for a Water reclamation plant Example 5-23 Example 5-23 (page 195): A water reclamation plans needs to have warning system to monitor the three water overflow holding tanks. Each tank has a HIGH/LOW level sensor. Design a system that activates a warming alarm whenever two or more tanks levels are HIGH. Also test the logic using the DE0 board. Prof. Paul Lin 20 10

11 Overflow sensing for a Water reclamation plant Example ex5_23.vhd -- Chemical Tank Monitoring -- Alarm is high for any combination of two or more tanks high LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY ex5_23 IS PORT( tank : IN std_logic_vector(2 downto 0); alarm : OUT std_logic); END ex5_23; Prof. Paul Lin 21 Overflow sensing for a Water reclamation plant Example 5-23 ARCHITECTURE arc OF ex5_23 IS BEGIN WITH tank SELECT alarm <= '0' WHEN "000", '0' WHEN "001", '0' WHEN "010", '1' WHEN "011", '0' WHEN "100", '1' WHEN "101", '1' WHEN "110", '1' WHEN "111", '0' WHEN others; END arc; Prof. Paul Lin 22 11

12 Overflow sensing for a Water reclamation plant Example 5-23 Prof. Paul Lin 23 Reference: DEO Board I/Os Prof. Paul Lin 24 12

13 Reference: DEO Board I/Os Prof. Paul Lin 25 Summary & Conclusion Prof. Paul Lin 26 13

Logic Circuit Design

Logic Circuit Design Logic Circuit Design we have studied Truth Tables Logic gates Logic algebra K-maps 1 All these are tools Tools Truth Tables Logic gates Logic algebra K-maps 2 All these are tools Tools Truth Tables Logic

More information

UNIVERSITI MALAYSIA PERLIS

UNIVERSITI MALAYSIA PERLIS UNIVERSITI MALAYSIA PERLIS SCHOOL OF COMPUTER & COMMUNICATIONS ENGINEERING EKT303/4 PRINCIPLES OF COMPUTER ARCHITECTURE LAB 5 : STATE MACHINE DESIGNS IN VHDL LAB 5: Finite State Machine Design OUTCOME:

More information

Chapter 3 Describing Logic Circuits Dr. Xu

Chapter 3 Describing Logic Circuits Dr. Xu Chapter 3 Describing Logic Circuits Dr. Xu Chapter 3 Objectives Selected areas covered in this chapter: Operation of truth tables for AND, NAND, OR, and NOR gates, and the NOT (INVERTER) circuit. Boolean

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII

EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII TITLE: VHDL IMPLEMENTATION OF ALGORITHMIC STATE MACHINES OBJECTIVES: VHDL implementation

More information

Chapter 4 Combinational Logic Circuits

Chapter 4 Combinational Logic Circuits Chapter 4 Combinational Logic Circuits Chapter 4 Objectives Selected areas covered in this chapter: Converting logic expressions to sum-of-products expressions. Boolean algebra and the Karnaugh map as

More information

Chapter 4 Combinational Logic Circuits

Chapter 4 Combinational Logic Circuits Chapter 4 Combinational Logic Circuits Chapter 4 Objectives Selected areas covered in this chapter: Converting logic expressions to sum-of-products expressions. Boolean algebra and the Karnaugh map as

More information

Ring Counter. 4-bit Ring Counter using D FlipFlop. VHDL Code for 4-bit Ring Counter and Johnson Counter 1. Contents

Ring Counter. 4-bit Ring Counter using D FlipFlop. VHDL Code for 4-bit Ring Counter and Johnson Counter 1. Contents VHDL Code for 4-bit Ring Counter and Johnson Counter 1 Contents 1 Ring Counter 2 4-bit Ring Counter using D FlipFlop 3 Ring Counter Truth Table 4 VHDL Code for 4 bit Ring Counter 5 VHDL Testbench for 4

More information

Logic Design I (17.341) Fall Lecture Outline

Logic Design I (17.341) Fall Lecture Outline Logic Design I (17.341) Fall 2011 Lecture Outline Class # 07 October 31, 2011 / November 07, 2011 Dohn Bowden 1 Today s Lecture Administrative Main Logic Topic Homework 2 Course Admin 3 Administrative

More information

Written exam IE1204/5 Digital Design Friday 13/

Written exam IE1204/5 Digital Design Friday 13/ Written exam IE204/5 Digital Design Friday 3/ 207 08.00-2.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandqvist tel 08-7904487 Teacher: Valhallavägen, Ahmed Hemani 08-7904469

More information

ECET 211 Electric Machines & Controls Lecture 7 Relays. Lecture 7 Relays

ECET 211 Electric Machines & Controls Lecture 7 Relays. Lecture 7 Relays ECET 211 Electric Machines & Controls Lecture 7 Relays Text Book: Electric Motors and Control Systems, by Frank D. Petruzella, published by McGraw Hill, 2015. Paul I-Hai Lin, Professor Electrical and Computer

More information

Arria V Timing Optimization Guidelines

Arria V Timing Optimization Guidelines Arria V Timing Optimization Guidelines AN-652-1. Application Note This document presents timing optimization guidelines for a set of identified critical timing path scenarios in Arria V FPGA designs. Timing

More information

Four-Way Traffic Light Controller Designing with VHDL

Four-Way Traffic Light Controller Designing with VHDL Four-Way Traffic Light Controller Designing with VHDL Faizan Mansuri Email:11bec024@nirmauni.ac.in Viraj Panchal Email:11bec047@nirmauni.ac.in Department of Electronics and Communication,Institute of Technology,

More information

Lecture 2: Digital Logic Basis

Lecture 2: Digital Logic Basis Lecture 2: Digital Logic Basis Xufeng Kou School of Information Science and Technology ShanghaiTech University 1 Outline Truth Table Basic Logic Operation and Gates Logic Circuits NOR Gates and NAND Gates

More information

CPE 100L LOGIC DESIGN I

CPE 100L LOGIC DESIGN I CPE 100L LABORATORY 3: COMBINATIONAL CIRCUIT DESIGN FULL ADDER BY GRZEGORZ CHMAJ DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Develop the ability to write a

More information

CHAPTER FIVE - Flip-Flops and Related Devices

CHAPTER FIVE - Flip-Flops and Related Devices CHAPTER FIVE - Flip-Flops and Related Devices 5.1 5.2 Same Q output as 5.1. 5.3 5.4 57 5.5 One possibility: 5.6 The response shown would occur If the NAND latch is not working as a Flip-Flop. A permanent

More information

Topics. FPGA Design EECE 277. Combinational Logic Blocks. From Last Time. Multiplication. Dr. William H. Robinson February 25, 2005

Topics. FPGA Design EECE 277. Combinational Logic Blocks. From Last Time. Multiplication. Dr. William H. Robinson February 25, 2005 FPGA Design EECE 277 Combinational Logic Blocks Dr. William H. Robinson Februar5, 25 http://eecs.vanderbilt.edu/courses/eece277/ Topics Computer, compute to the last digit the value o pi. Mr. Spock (Star

More information

Introduction to Simulation of Verilog Designs. 1 Introduction

Introduction to Simulation of Verilog Designs. 1 Introduction Introduction to Simulation of Verilog Designs 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an introduction to such

More information

Exercise 2: OR/NOR Logic Functions

Exercise 2: OR/NOR Logic Functions Exercise 2: OR/NOR Logic Functions EXERCISE OBJECTIVE When you have completed this exercise, you will be able to determine the operation of an OR and a NOR logic gate. You will verify your results by generating

More information

CS/EE Homework 9 Solutions

CS/EE Homework 9 Solutions S/EE 260 - Homework 9 Solutions ue 4/6/2000 1. onsider the synchronous ripple carry counter on page 5-8 of the notes. Assume that the flip flops have a setup time requirement of 2 ns and that the gates

More information

Digital Fundamentals. Lab 4 EX-OR Circuits & Combinational Circuit Design

Digital Fundamentals. Lab 4 EX-OR Circuits & Combinational Circuit Design Richland College School of Engineering & Technology Rev. 0 B. Donham Rev. 1 (7/2003) J. Horne Rev. 2 (1/2008) J. Bradbury Digital Fundamentals CETT 1425 Lab 4 EX-OR Circuits & Combinational Circuit Design

More information

Odd-Prime Number Detector The table of minterms is represented. Table 13.1

Odd-Prime Number Detector The table of minterms is represented. Table 13.1 Odd-Prime Number Detector The table of minterms is represented. Table 13.1 Minterm A B C D E 1 0 0 0 0 1 3 0 0 0 1 1 5 0 0 1 0 1 7 0 0 1 1 1 11 0 1 0 1 1 13 0 1 1 0 1 17 1 0 0 0 1 19 1 0 0 1 1 23 1 0 1

More information

Lab 1.1 PWM Hardware Design

Lab 1.1 PWM Hardware Design Lab 1.1 PWM Hardware Design Lab 1.0 PWM Control Software (recap) In lab 1.0, you learnt the core concepts needed to understand and interact with simple systems. The key takeaways were the following: Hardware

More information

In this lecture: Lecture 3: Basic Logic Gates & Boolean Expressions

In this lecture: Lecture 3: Basic Logic Gates & Boolean Expressions In this lecture: Lecture 3: Basic Logic Gates & Boolean Expressions Dr Pete Sedcole Department of E&E Engineering Imperial College London http://cas.ee.ic.ac.uk/~nps/ (Floyd 3.1 3.6, 4.1) (Tocci 3.1 3.9)

More information

Types of Control. Programmed Non-programmed. Program Counter Hardwired

Types of Control. Programmed Non-programmed. Program Counter Hardwired Lecture #5 In this lecture we will introduce the sequential circuits. We will overview various Latches and Flip Flops (30 min) Give Sequential Circuits design concept Go over several examples as time permits

More information

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs.

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. 2 Logic Gates A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. THE INVERTER The inverter (NOT circuit) performs the operation called inversion

More information

Gates and Circuits 1

Gates and Circuits 1 1 Gates and Circuits Chapter Goals Identify the basic gates and describe the behavior of each Describe how gates are implemented using transistors Combine basic gates into circuits Describe the behavior

More information

DELD MODEL ANSWER DEC 2018

DELD MODEL ANSWER DEC 2018 2018 DELD MODEL ANSWER DEC 2018 Q 1. a ) How will you implement Full adder using half-adder? Explain the circuit diagram. [6] An adder is a digital logic circuit in electronics that implements addition

More information

This Figure here illustrates the operation for a 2-input OR gate for all four possible input combinations.

This Figure here illustrates the operation for a 2-input OR gate for all four possible input combinations. Course: B.Sc. Applied Physical Science (Computer Science) Year & Sem.: IInd Year, Sem - IIIrd Subject: Computer Science Paper No.: IX Paper Title: Computer System Architecture Lecture No.: 5 Lecture Title:

More information

CENG3430 RAPID PROTOTYPING OF DIGITAL SYSTEMS

CENG3430 RAPID PROTOTYPING OF DIGITAL SYSTEMS VHDL 0 (v.7a) : Introduction 1 CENG3430 RAPID PROTOTYPING OF DIGITAL SYSTEMS YANG Ming-Chang mcyang@cse 3943-8405 Room 906 SHB WONG Kin Hong khwong@cse 3943-8397 Room 907 SHB http://www.cse.cuhk.edu.hk/~mcyang/teaching.html

More information

Encoders. Lecture 23 5

Encoders. Lecture 23 5 -A decoder with enable input can function as a demultiplexer a circuit that receives information from a single line and directs it to one of 2 n possible output lines. The selection of a specific output

More information

Synthesis Minimizations and Mesh Algorithm Selection: An Extension of the Ultrasonic 3D Camera

Synthesis Minimizations and Mesh Algorithm Selection: An Extension of the Ultrasonic 3D Camera Syracuse University SURFACE Syracuse University Honors Program Capstone Projects Syracuse University Honors Program Capstone Projects Spring 5-1-2009 Synthesis Minimizations and Mesh Algorithm Selection:

More information

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 4: Combinational Logic Circuits. Name: Date:

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 4: Combinational Logic Circuits. Name: Date: EXPERIMENT # 4: Combinational Logic Circuits Name: Date: Equipment/Parts Needed: 5V DC Power Supply Digital Trainer (Logic Probe) Breadboard DIP Switch 7400 NAND gate 7402 NOR gate 7404 Inverter 7408 AND

More information

Implementation of Full Adder using Cmos Logic

Implementation of Full Adder using Cmos Logic ISSN: 232-9653; IC Value: 45.98; SJ Impact Factor:6.887 Volume 5 Issue VIII, July 27- Available at www.ijraset.com Implementation of Full Adder using Cmos Logic Ravika Gupta Undergraduate Student, Dept

More information

Function Table of an Odd-Parity Generator Circuit

Function Table of an Odd-Parity Generator Circuit Implementation of an Odd-Parity Generator Circuit The first step in implementing any circuit is to represent its operation in terms of a Truth or Function table. The function table for an 8-bit data as

More information

Digital Electronic Concepts

Digital Electronic Concepts Western Technical College 10662137 Digital Electronic Concepts Course Outcome Summary Course Information Description Career Cluster Instructional Level Total Credits 4.00 Total Hours 108.00 This course

More information

EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2. ELEC 3004/7312: Signals Systems & Controls EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2

EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2. ELEC 3004/7312: Signals Systems & Controls EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2 ELEC 3004/7312: Signals Systems & Controls Aims In this laboratory session you will: 1. Gain familiarity with the workings of the Digilent Nexys 2 for DSP applications; 2. Have a first look at the Xilinx

More information

ECET 211 Electric Machines & Controls Lecture 7 Relays (1 of 2) Lecture 7 Relays

ECET 211 Electric Machines & Controls Lecture 7 Relays (1 of 2) Lecture 7 Relays ECET 211 Electric Machines & Controls Lecture 7 Relays (1 of 2) Text Book: Electric Motors and Control Systems, by Frank D. Petruzella, published by McGraw Hill, 2015 Paul I-Hai Lin, Professor of Electrical

More information

Combinational Logic Circuits. Combinational Logic

Combinational Logic Circuits. Combinational Logic Combinational Logic Circuits The outputs of Combinational Logic Circuits are only determined by the logical function of their current input state, logic 0 or logic 1, at any given instant in time. The

More information

LOGIC GATES AND LOGIC CIRCUITS A logic gate is an elementary building block of a Digital Circuit. Most logic gates have two inputs and one output.

LOGIC GATES AND LOGIC CIRCUITS A logic gate is an elementary building block of a Digital Circuit. Most logic gates have two inputs and one output. LOGIC GATES AND LOGIC CIRCUITS A logic gate is an elementary building block of a Digital Circuit. Most logic gates have two inputs and one output. At any given moment, every terminal is in one of the two

More information

bus waveforms transport delta and simulation

bus waveforms transport delta and simulation bus waveforms transport delta and simulation Time Modelling and Data Flow Descriptions Modeling time in VHDL Different models of time delay Specify timing requirement Data flow descriptions Signal resolution

More information

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic Harris Introduction to CMOS VLSI Design (E158) Lecture 5: Logic David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture 5 1

More information

ECET 211 Electric Machines & Controls Lecture 3-2 (Part 2 of 2) Motor Transformers and Distribution Systems

ECET 211 Electric Machines & Controls Lecture 3-2 (Part 2 of 2) Motor Transformers and Distribution Systems ECET 211 Electric Machines & Controls Lecture 3-2 (Part 2 of 2) Motor Transformers and Distribution Systems Text Book: Electric Motors and Control Systems, by Frank D. Petruzella, published by McGraw Hill,

More information

Exercise 1: AND/NAND Logic Functions

Exercise 1: AND/NAND Logic Functions Exercise 1: AND/NAND Logic Functions EXERCISE OBJECTIVE When you have completed this exercise, you will be able to determine the operation of an AND and a NAND logic gate. You will verify your results

More information

Daisy II. By: Steve Rothen EEL5666 Spring 2002

Daisy II. By: Steve Rothen EEL5666 Spring 2002 Daisy II By: Steve Rothen EEL5666 Spring 2002 Table of Contents Abstract. 3 Executive Summary. 4 Introduction.. 4 Integrated System 5 Mobile Platform... 8 Actuation....9 Sensors.. 10 Behaviors.. 13 Experimental

More information

Quartus II Simulation with Verilog Designs

Quartus II Simulation with Verilog Designs Quartus II Simulation with Verilog Designs This tutorial introduces the basic features of the Quartus R II Simulator. It shows how the Simulator can be used to assess the correctness and performance of

More information

University of Technology

University of Technology University of Technology Lecturer: Dr. Sinan Majid Course Title: microprocessors 4 th year Lecture 7 & 8 NAND and XOR Implementations Combinational Design Procedure NAND-NAND & NOR-NOR Networks DeMorgan

More information

Subtractor Logic Schematic

Subtractor Logic Schematic Function Of Xor Gate In Parallel Adder Subtractor Logic Schematic metic functions, including half adder, half subtractor, full adder, independent logic gates to form desired circuits based on dif- by integrating

More information

Quartus II Simulation with Verilog Designs

Quartus II Simulation with Verilog Designs Quartus II Simulation with Verilog Designs This tutorial introduces the basic features of the Quartus R II Simulator. It shows how the Simulator can be used to assess the correctness and performance of

More information

Overview. This lab exercise requires. A windows computer running Xilinx WebPack A Digilent board. Contains material Digilent, Inc.

Overview. This lab exercise requires. A windows computer running Xilinx WebPack A Digilent board. Contains material Digilent, Inc. Module 6: Combinational Circuit Blocks Revision: August 30, 2007 Overview This lab introduces several combinational circuits that are frequently used by digital designers, including a data selector (also

More information

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions CSE 260 Digital Computers: Organization and Logical Design Midterm Solutions Jon Turner 2/28/2008 1. (10 points). The figure below shows a simulation of the washu-1 processor, with some items blanked out.

More information

FPGA & Pulse Width Modulation. Digital Logic. Programing the FPGA 7/23/2015. Time Allotment During the First 14 Weeks of Our Advanced Lab Course

FPGA & Pulse Width Modulation. Digital Logic. Programing the FPGA 7/23/2015. Time Allotment During the First 14 Weeks of Our Advanced Lab Course 1.9.8.7.6.5.4.3.2.1.5 1 1.5 2 2.5 3 3.5 4 4.5 5 5.5 6 6.5 DAC Vin 7/23/215 FPGA & Pulse Width Modulation Allotment During the First 14 Weeks of Our Advanced Lab Course Sigma Delta Pulse Width Modulated

More information

Asst. Prof. Thavatchai Tayjasanant, PhD. Power System Research Lab 12 th Floor, Building 4 Tel: (02)

Asst. Prof. Thavatchai Tayjasanant, PhD. Power System Research Lab 12 th Floor, Building 4 Tel: (02) 2145230 Aircraft Electricity and Electronics Asst. Prof. Thavatchai Tayjasanant, PhD Email: taytaycu@gmail.com aycu@g a co Power System Research Lab 12 th Floor, Building 4 Tel: (02) 218-6527 1 Chapter

More information

KEYWORDS: Current mode gates, Current - mode logic, VHDL models, Mixed analogue digital system

KEYWORDS: Current mode gates, Current - mode logic, VHDL models, Mixed analogue digital system VHDL MODELS OF DIGITAL COMBINATORIAL CIRCUITS ON THE CURRENT - MODE GATES D.GRETKOWSKI, A.GUZINSKI, J.KANIEWSKI, O.MASLENNIKOW TECHNICAL UNIVERSITY OF KOSZALIN, POLAND KEYWORDS: Current mode gates, Current

More information

Combinational logic. ! Regular logic: multiplexers, decoders, LUTs and FPGAs. ! Switches, basic logic and truth tables, logic functions

Combinational logic. ! Regular logic: multiplexers, decoders, LUTs and FPGAs. ! Switches, basic logic and truth tables, logic functions Combinational logic! Switches, basic logic and truth tables, logic functions! Algebraic expressions to gates! Mapping to different gates! Discrete logic gate components (used in labs and 2)! Canonical

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

Positive and Negative Logic

Positive and Negative Logic Course: B.Sc. Applied Physical Science (Computer Science) Year & Sem.: IInd Year, Sem - IIIrd Subject: Computer Science Paper No.: IX Paper Title: Computer System Architecture Lecture No.: 4 Lecture Title:

More information

Lecture 15 Analysis of Combinational Circuits

Lecture 15 Analysis of Combinational Circuits Lecture 15 Analysis of Combinational Circuits Designing Combinational Logic Circuits A logic circuit having 3 inputs, A, B, C will have its output HIGH only when a majority of the inputs are HIGH. Step

More information

Senior Capstone Project Proposal Reconfigurable FPGA Implementation Of Digital Communication System

Senior Capstone Project Proposal Reconfigurable FPGA Implementation Of Digital Communication System Senior Capstone Project Proposal Reconfigurable FPGA Implementation Project Members Steve Koziol Josh Romans Project Advisor Dr T.L. Stewart Bradley University Department of Electrical & Computer Engineering

More information

Subject: Analog and Digital Electronics Code:15CS32

Subject: Analog and Digital Electronics Code:15CS32 Subject: Analog and Digital Electronics Code:15CS32 Syllabus: The Basic Gates : Review of Basic Logic gates, Positive and Negative Logic, Introduction to HDL. Combinational Logic Circuits:Sum-of-Products

More information

Generation of Digital System Test Patterns Based on VHDL Simulations

Generation of Digital System Test Patterns Based on VHDL Simulations POSTER 2006, PRAGUE MAY 18 1 Generation of Digital System Test Patterns Based on VHDL Simulations Miljana SOKOLOVIĆ 1, Andy KUIPER 2 1 LEDA laboratory, aculty of Electronic Engineering, University of Niš,

More information

CSE 260 Digital Computers: Organization and Logical Design. Lab 4. Jon Turner Due 3/27/2012

CSE 260 Digital Computers: Organization and Logical Design. Lab 4. Jon Turner Due 3/27/2012 CSE 260 Digital Computers: Organization and Logical Design Lab 4 Jon Turner Due 3/27/2012 Recall and follow the General notes from lab1. In this lab, you will be designing a circuit that implements the

More information

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 5

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 5 IGITAL LOGIC WITH VHL (Fall 2013) Unit 5 SEUENTIAL CIRCUITS Asynchronous sequential circuits: Latches Synchronous circuits: flip flops, counters, registers. COMBINATORIAL CIRCUITS In combinatorial circuits,

More information

Digital Fundamentals A Systems Approach Thomas L. Floyd First Edition

Digital Fundamentals A Systems Approach Thomas L. Floyd First Edition Digital Fundamentals Systems pproach Thomas L. Floyd First Edition Pearson Education Limited Edinburgh Gate Harlow Essex M20 2JE England and ssociated ompanies throughout the world Visit us on the World

More information

HDL CODE TO REALIZE ALL THE LOGIC GATES

HDL CODE TO REALIZE ALL THE LOGIC GATES Experiment 1 HDL CODE TO REALIZE ALL THE LOGIC GATES Aim: To write VHDL code for all basic gates, simulate and verify functionality, synthesize. Tools Required: 1. FPG Advantage i. Simulator: Modelsim

More information

ENGG1015: lab 3. Sequential Logic

ENGG1015: lab 3. Sequential Logic ENGG1015: lab 3 Sequential Logic 1 st Semester 2012-13 This lab explores the world of sequential logic design. By the end of this lab, you will have implemented a working prototype of a Ball ounter that

More information

Larger 5 & 6variable Karnaugh maps

Larger 5 & 6variable Karnaugh maps Larger 5 & 6variable Karnaugh maps Larger Karnaugh maps reduce larger logic designs. How large is large enough? That depends on the number of inputs, fan-ins, to the logic circuit under consideration.

More information

Chapter 2 Introduction to Logic Circuits

Chapter 2 Introduction to Logic Circuits Chapter 2 Introduction to Logic Circuits Logic unctions and circuits Boolean algebra Snthesis o digital circuits Introduction to CAD tools Introduction to VHDL Logic unctions and Circuits and 2 are binar

More information

ECET 211 Electric Machines & Controls Lecture 9-1 Adjustable-Speed Drives and PLC Installations (1 of 2)

ECET 211 Electric Machines & Controls Lecture 9-1 Adjustable-Speed Drives and PLC Installations (1 of 2) ECET 211 Electric Machines & Controls Lecture 9-1 Adjustable-Speed Drives (1 of 2) Text Book: Electric Motors and Control Systems, by Frank D. Petruzella, published by McGraw Hill, 2015. Paul I-Hai Lin,

More information

Power Optimization in Stratix IV FPGAs

Power Optimization in Stratix IV FPGAs Power Optimization in Stratix IV FPGAs May 2008, ver.1.0 Application Note 514 Introduction The Stratix IV amily o devices rom Altera is based on 0.9 V, 40 nm Process technology. Stratix IV FPGAs deliver

More information

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these Objective Questions Module 1: Introduction 1. Which of the following is an analog quantity? (a) Light (b) Temperature (c) Sound (d) all of these 2. Which of the following is a digital quantity? (a) Electrical

More information

Name: Class: Date: 1. As more electronic systems have been designed using digital technology, devices have become smaller and less powerful.

Name: Class: Date: 1. As more electronic systems have been designed using digital technology, devices have become smaller and less powerful. Name: Class: Date: DE Midterm Review 2 True/False Indicate whether the statement is true or false. 1. As more electronic systems have been designed using digital technology, devices have become smaller

More information

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 11.1

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 11.1 Introduction to Simulation of Verilog Designs For Quartus II 11.1 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an

More information

MODULE-4 Memory and programmable logic

MODULE-4 Memory and programmable logic MODULE-4 Memory and programmable logic READ-ONLY MEMORY (ROM) A read-only memory (ROM) is a device that includes both the decoder and the OR gates within a single IC package. The connections between the

More information

Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter

Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter Afarulrazi Abu Bakar 1, *,Md Zarafi Ahmad 1 and Farrah Salwani Abdullah 1 1 Faculty of Electrical and Electronic Engineering, UTHM *Email:afarul@uthm.edu.my

More information

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 13.0

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 13.0 Introduction to Simulation of Verilog Designs For Quartus II 13.0 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an

More information

Number system: the system used to count discrete units is called number. Decimal system: the number system that contains 10 distinguished

Number system: the system used to count discrete units is called number. Decimal system: the number system that contains 10 distinguished Number system: the system used to count discrete units is called number system Decimal system: the number system that contains 10 distinguished symbols that is 0-9 or digits is called decimal system. As

More information

Digital Fundamentals 9/4/2017. Summary. Summary. Floyd. Chapter 3. The Inverter

Digital Fundamentals 9/4/2017. Summary. Summary. Floyd. Chapter 3. The Inverter Digital Fundamentals Tenth Edition Floyd Chapter 3 29 Pearson Education, Upper 28 Pearson Saddle River, Education NJ 7458. ll Rights Reserved The Inverter The inverter performs the oolean NOT operation.

More information

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI 6489 (Approved By AICTE,Newdelhi Affiliated To ANNA UNIVERSITY::Chennai) CS 62 DIGITAL ELECTRONICS LAB (REGULATION-23) LAB MANUAL DEPARTMENT OF

More information

Combinational Logic. Rab Nawaz Khan Jadoon DCS. Lecturer COMSATS Lahore Pakistan. Department of Computer Science

Combinational Logic. Rab Nawaz Khan Jadoon DCS. Lecturer COMSATS Lahore Pakistan. Department of Computer Science Combinational Logic Rab Nawaz Khan Jadoon DCS COMSATS Institute of Information Technology Lecturer COMSATS Lahore Pakistan Digital Logic and Computer Design 2 Combinational logic A combinational circuit

More information

THE UNIVERSITY OF TRINIDAD & TOBAGO

THE UNIVERSITY OF TRINIDAD & TOBAGO THE UNIVERSITY OF TRINIDAD & TOBAGO FINAL ASSESSMENT/EXAMINATIONS APRIL/MAY 2014 Course Code and Title: Digital Electronics Programme: Communications Engineering Technology Diploma Date: 16 th April 2014

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

Digital Fundamentals

Digital Fundamentals Digital Fundamentals Tenth Edition Floyd Chapter 3 28 Pearson Education 29 Pearson Education, Upper Saddle River, NJ 7458. ll Rights Reserved The Inverter The inverter performs the oolean NOT operation.

More information

EECS 150 Homework 4 Solutions Fall 2008

EECS 150 Homework 4 Solutions Fall 2008 Problem 1: You have a 100 MHz clock, and need to generate 3 separate clocks at different frequencies: 20 MHz, 1kHz, and 1Hz. How many flip flops do you need to implement each clock if you use: a) a ring

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

DO NOT COPY DO NOT COPY

DO NOT COPY DO NOT COPY 18 Chapter 1 Introduction 1.9 Printed-Circuit oards printed-circuit board n IC is normally mounted on a printed-circuit board (PC) [or printed-wiring (PC) board (PW)] that connects it to other ICs in a

More information

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1 LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM K-Map for SUM: K-Map for CARRY: SUM = A B + AB CARRY = AB 22/ODD/III/ECE/DE/LM Page No. EXPT NO: DATE : DESIGN OF ADDER AND SUBTRACTOR AIM: To design

More information

Digital Systems Principles and Applications TWELFTH EDITION. 3-3 OR Operation With OR Gates. 3-4 AND Operations with AND gates

Digital Systems Principles and Applications TWELFTH EDITION. 3-3 OR Operation With OR Gates. 3-4 AND Operations with AND gates Digital Systems Principles and Applications TWELFTH EDITION CHAPTER 3 Describing Logic Circuits Part -2 J. Bernardini 3-3 OR Operation With OR Gates An OR gate is a circuit with two or more inputs, whose

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Efficient Parallel Real-Time Upsampling with Xilinx FPGAs

Efficient Parallel Real-Time Upsampling with Xilinx FPGAs Efficient Parallel eal-time Upsampling with Xilinx FPGAs by William D. ichard Associate Professor Washington University, St. Louis wdr@wustl.edu 38 Xcell Journal Fourth Quarter 2014 Here s a way to upsample

More information

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM LIST OF EXPERIMENTS. Study of logic gates. 2. Design and implementation of adders and subtractors using logic gates. 3. Design and implementation of code converters using logic gates. 4. Design and implementation

More information

Introduction to Digital Signal Processing

Introduction to Digital Signal Processing A-PDF Split DEMO : Purchase from www.a-pdf.com to remove the watermark CHAPTER 7 Introduction to Digital Signal Processing 7.1 Introduction The processing of analogue electrical signals and digital data

More information

Digital Logic Design ELCT 201

Digital Logic Design ELCT 201 Faculty of Information Engineering and Technology Dr. Haitham Omran and Dr. Wassim Alexan Digital Logic Design ELCT 201 Winter 2017 Midterm Exam Second Chance Please tick the box of your major: IET MET

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus II 13.1

Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus II 13.1 Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor For Quartus II 13.1 1 Introduction This tutorial provides an introduction to simulation of logic circuits using the

More information

Logic diagram: a graphical representation of a circuit

Logic diagram: a graphical representation of a circuit LOGIC AND GATES Introduction to Logic (1) Logic diagram: a graphical representation of a circuit Each type of gate is represented by a specific graphical symbol Truth table: defines the function of a gate

More information

Understanding FLEX 8000 Timing

Understanding FLEX 8000 Timing Understanding FLEX 8000 Timing March 1995, ver. 1 Application Brief 143 Introduction Altera FLEX 8000 devices provide predictable performance that is consistent from simulation to application. Before configuring

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

Lecture 0: Introduction

Lecture 0: Introduction Introduction to CMOS VLSI Design Lecture : Introduction David Harris Steven Levitan Harvey Mudd College University of Pittsburgh Spring 24 Fall 28 Administrivia Professor Steven Levitan TA: Bo Zhao Syllabus

More information

DELD UNIT 3. Question Option A Option B Option C Option D Correct Option A B C

DELD UNIT 3. Question Option A Option B Option C Option D Correct Option A B C Class : S.E.Comp Matoshri College of Engineering and Research Center Nasik Department of Computer Engineering Digital Elecronics and Logic Design (DELD) UNIT - III Subject : DELD Sr. No. Question Option

More information